欢迎来到淘文阁 - 分享文档赚钱的网站! | 帮助中心 好文档才是您的得力助手!
淘文阁 - 分享文档赚钱的网站
全部分类
  • 研究报告>
  • 管理文献>
  • 标准材料>
  • 技术资料>
  • 教育专区>
  • 应用文书>
  • 生活休闲>
  • 考试试题>
  • pptx模板>
  • 工商注册>
  • 期刊短文>
  • 图片设计>
  • ImageVerifierCode 换一换

    多进制数字振幅调制(MASK)系统ppt课件.ppt

    • 资源ID:28420458       资源大小:131KB        全文页数:17页
    • 资源格式: PPT        下载积分:20金币
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录   QQ登录  
    二维码
    微信扫一扫登录
    下载资源需要20金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    多进制数字振幅调制(MASK)系统ppt课件.ppt

    8.128.12多进制数字振幅调制多进制数字振幅调制(MASK)(MASK)系统系统多进制数字振幅调制(MASK) n多进制数字振幅调制又称多电平振幅调制,它用高频载波的多种振幅去代表数字信息。 左图为四电平振幅调制,高频载波有u0(t)、u1(t)、u2(t)、u3(t)四种。振幅为0、1A、2A、3A,分别代表数字信息0、1、2、3或者双比特二进制输入信息 00、01、10、11 进行振幅调制。n已调波一般可表示为ng(t)是高度为1、宽度为TS的矩形脉冲,且有n为易于理解,将波形上图 所示。显然图(c)中各波形的叠加便构成了图(b)的波形。n由上图可见,M进制ASK信号是M个二进制ASK信号的叠加。n那么,MASK信号的功率谱便是 M个二进制ASK信号功率谱之和。n因此,叠加后的MASK信号的功率谱将与每一个二进制ASK信号的功率谱具有相同的带宽。n所以其带宽101MiPissMTfB22MASK信号的产生 nMASK信号与二进制ASK信号产生的方法相同,可利用乘法器实现。n解调也与二进制ASK信号相同,可采用相干解调和非相干解调两种方式。M进制振幅调制方框图 实现多电平调制的方框原理如上图所示,它与二进制振幅调制的方框原理非常相似。不同之处是在发信输入端增加了2M电平变换,相应在接收端应有M2电平变换。n另外该电路的取样判决器有多个判决电平,因此多电平调制的取样判决电路比较复杂。实际系统中,取样判决电路可与M2电平变换合成一个部件,它的原理类似于A D变换器。多电平解调与二进制解调相似,可采用包络解调或同步解调。n多进制数字振幅调制与二进制振幅调制相比有如下特点:(1)在码元速率相同的条件下,信息速率是二进制的log2M倍。n(2)当码元速率相同时,多进制振幅调制带宽与二进制相同。n(3)多进制振幅调制的误码率通常远大于二进制误码率。当功率受限时,M越大,误码增加越严重。n(4)多进制振幅调制不能充分利用发信机功率。MASK调制电路VHDL程序与仿真 MASK调制方框图 clkstart基带信号分频器串/并译码ASK调制D/A调制信号FPGAMASK调制电路符号MASK调制VHDL程序与仿真 n-文件名:MASKn-功能:基于VHDL硬件描述语言,对基带信号进行MASK调制n-说明:这里MASK中的M为4n-最后修改日期:2004.2.13nlibrary ieee;nuse ieee.std_logic_arith.all;nuse ieee.std_logic_1164.all;nuse ieee.std_logic_unsigned.all;nentity MASK isnport(clk :in std_logic; -系统时钟n start :in std_logic; -开始调制信号n x :in std_logic; -基带信号n y :out std_logic_vector(7 downto 0); -8位DAC数据nend MASK;narchitecture behav of MASK isnsignal q:integer range 0 to 7; -计数器nsignal qq:integer range 0 to 3; -计数器nsignal xx:std_logic_vector(3 downto 0); -并行数据寄存器nsignal yy:std_logic_vector(7 downto 0); -8位DAC数据寄存器nbeginnprocess(clk) -此进程完成基带信号的串并转换, -完成4位并行数据到8位DAC数据的译码nbeginnif clkevent and clk=1 then n if start=0 then q=0;n elsif q=0 then q=1;xx(3)=x; n if xx(3)=1 then yy=xx&1111; -if语句完成4位并行数据到8位DAC数据转换n elsif xx(2)=1 then yy=xx&1011;n elsif xx(1)=1 then yy=xx&0111;n elsif xx(0)=1 then yy=xx&0011;n else yy=xx&0000;n end if;n elsif q=2 then q=3;xx(2)=x;n elsif q=4 then q=5;xx(1)=x;n elsif q=6 then q=7;xx(0)=x; n else q=q+1;n end if;nend if;nend process;nprocess(clk) -对8位DAC数据进行ASK调制nbeginnif clkevent and clk=1 thenn if start=0 then qq=0;n elsif qq2 then qq=qq+1;y=00000000;n elsif qq=2 then qq=3;y=yy;n else qq=0;n end if;nend if;nend process;nend behav; MASK调制程序仿真图及注释 (MASK调制VHDL程序仿真仿真全图) (MASK调制VHDL程序仿真局部放大图)

    注意事项

    本文(多进制数字振幅调制(MASK)系统ppt课件.ppt)为本站会员(飞****2)主动上传,淘文阁 - 分享文档赚钱的网站仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知淘文阁 - 分享文档赚钱的网站(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于淘文阁 - 版权申诉 - 用户使用规则 - 积分规则 - 联系我们

    本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知淘文阁网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

    工信部备案号:黑ICP备15003705号 © 2020-2023 www.taowenge.com 淘文阁 

    收起
    展开