欢迎来到淘文阁 - 分享文档赚钱的网站! | 帮助中心 好文档才是您的得力助手!
淘文阁 - 分享文档赚钱的网站
全部分类
  • 研究报告>
  • 管理文献>
  • 标准材料>
  • 技术资料>
  • 教育专区>
  • 应用文书>
  • 生活休闲>
  • 考试试题>
  • pptx模板>
  • 工商注册>
  • 期刊短文>
  • 图片设计>
  • ImageVerifierCode 换一换

    毕业答辩PPT课件-基于FPGA的日历时钟的设计.ppt

    • 资源ID:29574377       资源大小:934KB        全文页数:12页
    • 资源格式: PPT        下载积分:20金币
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录   QQ登录  
    二维码
    微信扫一扫登录
    下载资源需要20金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    毕业答辩PPT课件-基于FPGA的日历时钟的设计.ppt

    常熟理工学院07级毕业答辩PPT 姓 名:季红娟基于FPGA的日历时钟的设计 指导老师:潘启勇封面 学 号:160407230 主要演讲内容常熟理工物理与电子工程学院电子信息工程季红娟毕业设计PPT课题主要研究内容PicoBlaze的介绍系统验证的结果总结系统方案的设计课题主要研究内容 常熟理工物理与电子工程学院电子信息工程季红娟毕业设计PPT 本课题的主要研究内容是以FPGA为核心的日历时钟设计。其中包括: (1)日历时钟的分频模块,PicoBlaze处理器的应用,显示及显示控制模块块设计以及各个模块之与处理器间的之间的联系。 (2)掌握FPGA系统电路设计方法,学会操作ISE软件来完成FPGA的日历时钟的设计和开发。 (3)LCD显示屏的驱动程序以及接口电路。PicoBlaze的 介绍常熟理工物理与电子工程学院电子信息工程季红娟毕业设计PPT PicoBlaze原名KCPSM3,是一个针对Virtex和Spartan3(E)系列FPGA及CoolRunner-II系列CPLD器件设计的8位微控制器嵌入式专用知识产权核(IP Core),它解决了常量编码可编程状态机(KCPSM)的问题,这一模块只占用Spartan3E的96个小区(Slice),占器件XC3S500E不到1的资源。在这一模块中还包括一个用于存储指令的ROM(由XC3S500E的Block RAM组成),最多可存储1024条指令。PicoBlaze只用了如此少的资源,但其速度却可达到100MIPS(200MHz)以上。它的性能超过了传统独立元器件组成的微处理器,而且成本低,使得PicoBlaze在数据处理和控制算法领域有着广泛的应用前景。由于可编程部分也可以嵌入,PicoBlaze可与子程序和外围电路结合起来完成特殊的设计。常熟理工物理与电子信息工程学院电子信息工程季红娟毕业设计PPT PicoBlaze的基本框架图常熟理工物理与电子工程学院电子信息工程季红娟毕业设计PPT 系统整体框架图日历时钟开发的流程常熟理工物理与电子信息工程学院电子信息工程季红娟毕业设计PPT1编写代码。在文本编辑器中用KCPSM3格式的汇编语言编写用于实现时钟的代码,并保存为control.psm文件(后缀名为psm)。2汇编。建立工作目录(如D:assembler),并将汇编器KCPSM3.exe、ROM_form.v、ROM_form.coe、ROM_form.vhd及KCPSM3格式的汇编程序代码control.psm拷贝到该目录下。在命令行窗口中进入到工作目录并运行如下的命令:KCPSM3 control.psm。汇编成功后会生成control.v、control.vhd等文件。常熟理工物理与电子信息工程学院电子信息工程季红娟毕业设计PPT3编写顶层模块。在Xilinx的ISE集成开发环境中创建工程,并建立顶层应用模块picoblaze_real_time_clock.vhd。将PicoBlaze的源代码KCPSM.vhd及汇编生成的ROM程序control.vhd加入到当前工程,并在顶层模块中实例化KCPSM.vhd及control.vhd。最后在顶层模块中加入少量的粘合逻辑代码即可完成设计。4下载调试日历时钟开发的流程日历时钟的开发流程时钟模块的实体可定义为:entity picoblaze_real_time_clock is port ( led : out std_logic_vector(7 downto 0); strataflash_oe : out std_logic; strataflash_ce : out std_logic; strataflash_we : out std_logic; switch : in std_logic_vector(3 downto 0); tn_north : in std_logic; tn_east : in std_logic; tn_south : in std_logic; tn_west : in std_logic; lcd_d : inout std_logic_vector(7 downto 4); lcd_rs : out std_logic; lcd_rw : out std_logic; lcd_e : out std_logic; rotary_a : in std_logic; rotary_b : in std_logic; rotary_press : in std_logic; clk : in std_logic);end picoblaze_real_time_clock;系统验证的结果常熟理工物理与电子信息工程学院电子信息工程季红娟毕业设计PPT 验证的结果:在 LCD 模块上证的显示时间、日期和星期的时钟。可以通过按压和旋转入门套件板上的旋转编码器来设置时间和日期。总 结 该课题涉及到FPGA设计流程和PicoBlaze内核应用两个方面的内容,本人主要负责硬件FPGA内部的接口控制逻辑设计和顶层文件的设计以及要掌握PicoBlaze内核的应用。利用VHDL语言完成了FPGA顶层文件的设计,提出并实现了基于PicoBlaze核的日历时钟设计。常熟理工物理与电子工程学院电子信息工程季红娟毕业设计PPTThe End!结 束 Thank You!

    注意事项

    本文(毕业答辩PPT课件-基于FPGA的日历时钟的设计.ppt)为本站会员(飞****2)主动上传,淘文阁 - 分享文档赚钱的网站仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知淘文阁 - 分享文档赚钱的网站(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于淘文阁 - 版权申诉 - 用户使用规则 - 积分规则 - 联系我们

    本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知淘文阁网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

    工信部备案号:黑ICP备15003705号 © 2020-2023 www.taowenge.com 淘文阁 

    收起
    展开