欢迎来到淘文阁 - 分享文档赚钱的网站! | 帮助中心 好文档才是您的得力助手!
淘文阁 - 分享文档赚钱的网站
全部分类
  • 研究报告>
  • 管理文献>
  • 标准材料>
  • 技术资料>
  • 教育专区>
  • 应用文书>
  • 生活休闲>
  • 考试试题>
  • pptx模板>
  • 工商注册>
  • 期刊短文>
  • 图片设计>
  • ImageVerifierCode 换一换

    2022年dc使用教程 .pdf

    • 资源ID:32492258       资源大小:4.54MB        全文页数:30页
    • 资源格式: PDF        下载积分:4.3金币
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录   QQ登录  
    二维码
    微信扫一扫登录
    下载资源需要4.3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    2022年dc使用教程 .pdf

    DC 使用说明文件说明:在进行下面的演示时需要用到两个文件,一个是example1.v ,它是描述一个电路的verilog 代码,我们的目标就是用DC 综合这个代码得到满足约束条件的电路网表;另一个是dc.scr,它是综合 example1.v的脚本文件。这两个文件都在 /home/student1000目录下,大家把它们拷贝到自己的目录下,以备使用。DC 既可使用图形界面,也可不使用图形界面而直接运行脚本来综合电路。一、DC 图形界面的使用。1. DC 图形界面的启动1.1 打开一个终端窗口,写入命令dv db_mode,敲入回车。则 DC 图形界面启动,如下图所示名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 1 页,共 30 页 - - - - - - - - - 红框处是DC 的命令输入框, 以下在图形界面上的操作都可以在命令输入框中输入相应的命令来完成。选择 Help-Man Pages 可以查看DC 的联机帮助。相应指令:man。例: man man 表示查看 man 命令的帮助。man create_clock 表示查看creat_clock 命令的帮助。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 2 页,共 30 页 - - - - - - - - - 2.设置库文件选择 File-Setup 需要设置以下库文件,如下图。相应指令:set search_path list /tools/lib/smic25/feview_s/version1/STD/Synopsys /tools/lib/smic25/feview_s/version1/STD/Symbol/synopsys set target_library smic25_ff.db set link_library smic25_ff.db smic25_ss.db set symbol_library smic25.sdb 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 3 页,共 30 页 - - - - - - - - - 点 OK,设置完成。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 4 页,共 30 页 - - - - - - - - - 3.读入 verilog 文件选择 File-Read 在打开文件对话框中选中要打开的文件,在这里我们选中example1.v 文件。在 Log 框中出现successfully 字样表明读入文件成功。相应命令:read_file 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 5 页,共 30 页 - - - - - - - - - 点击红色箭头所指的按钮可以查看该电路的symbol 图。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 6 页,共 30 页 - - - - - - - - - 4.设置约束条件设置时钟约束在 symbol 图上选中 clk 端口名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 7 页,共 30 页 - - - - - - - - - 选择 Attributes-Specify Clock 出来设置时钟约束的对话框,按下图设置,给时钟取名为clock,周期20ns,上升沿0ns,下降沿 10ns。点击 OK,时钟约束设置完成。相应命令:creat_clock 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 8 页,共 30 页 - - - - - - - - - 设置复位信号约束在 symblo 图中选中 rst_n 端口(在本例中它是复位端口),选择 Attributes-Optimization Directives-Input Port 勾选 Don t touch network 选项,点击OK。相应命令: set_dont_touch_network 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 9 页,共 30 页 - - - - - - - - - 4.3 设置输入信号延迟约束同时选中输入端口a,b,c 选择 Attributes-Operating Environment-Input Delay 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 10 页,共 30 页 - - - - - - - - - 设置 Relative to clock 为 clock(即我们刚才加约束的时钟信号),并设置上升延迟为8ns (根据经验,该值是时钟周期的40%,本例中设置了时钟周期为20ns,20*0.4=8ns)相应命令: set_input_delay 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 11 页,共 30 页 - - - - - - - - - 4.4 设置输出端口约束在 symblo 图上选中输出端口o。选择 Attributes-Operating Environment-Output Delay 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 12 页,共 30 页 - - - - - - - - - 设置输出延迟为8ns 相应指令: set_output_delay 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 13 页,共 30 页 - - - - - - - - - 4.5 设置面积约束选择 Attributes-Optimization Constraints-Design Constraints 设置 Max area 的值为 0, 表明让 DC 向电路面积为0 的方向来优化电路,使面积最小。 当然,面积为 0 是达不到的。 Max fanout 为 4, Max transition 为 0.5 (具体含义参见SYNTHESIS.pdf )名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 14 页,共 30 页 - - - - - - - - - 相应命令: set_max_area , set_max_fanout,set_max_transition。5.综合优化选择 Design-Compile Design 点击 OK,相应命令:compile 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 15 页,共 30 页 - - - - - - - - - 在 Log 框中出现Optimization Complete 字样表明优化完成,如下图所示。6.查看报告查看面积报告选择 Design-Report Area 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 16 页,共 30 页 - - - - - - - - - 点击 OK,相应命令:report_area。报告总面积为180.223999,单位是平方微米。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 17 页,共 30 页 - - - - - - - - - 查看约束报告选择 Design-Report Constraints 在这里我们只查看all violators 选项(勾选show all violators ) ,该选项是报告综合后所有不满足原先设置的约束条件的条款。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 18 页,共 30 页 - - - - - - - - - 从下图可以看出只有max_area (最大面积) 约束不满足, 因为我们设置的最大面积约束是0(见4.5) ,而实际综合出的电路面积是180.22。该项violator 是合理的。如果还存在其它violators ,说明前面的约束设置不合理或电路设计不合理,需要对其修改,最终要求除max_area violator 外没有其它violators 。相应命令:report_constraint 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 19 页,共 30 页 - - - - - - - - - 时序报告选择 Timing-Report Timing 报告的是最大延迟路径。相应命令:report_timing 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 20 页,共 30 页 - - - - - - - - - 7.保存文件选择 File-Save As 保存成 .db 文件名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 21 页,共 30 页 - - - - - - - - - 保存成 .v 文件(即网表文件)相应命令: write 8.退出 DC 选择 File-Exit。相应命令: exit。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 22 页,共 30 页 - - - - - - - - - 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 23 页,共 30 页 - - - - - - - - - 二、DC 脚本的使用脚本是许多命令的集合,在运行脚本时,DC 按脚本里的命令顺序执行。1. 启动非图形界面打开一个终端窗口,输入dc_shell-t,回车。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 24 页,共 30 页 - - - - - - - - - 出现红框里字样表明启动DC 成功。2. 运行脚本输入 source ./dc.scr Optimization complete 表明综合优化完成。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 25 页,共 30 页 - - - - - - - - - 3. 退出 DC 输入 exit 命令退出。dc.scr脚本内容说明dc.scr脚本的内容如下:(蓝色字体是说明,脚本中并没有这些文字)sh date /显示开始时间remove_design designs /移除 DC 中原有的设计/下面是库的设置,对应图形界面操作的2 # #set library # # set search_path list /tools/lib/smic25/feview_s/version1/STD/Synopsys /tools/lib/smic25/feview_s/version1/STD/Symbol/synopsys set target_library smic25_tt.db set link_library smic25_tt.db set symbol_library smic25.sdb /下面是屏蔽一些 warning 信息, DC 在综合时遇到这些warning 时就把它们忽略,不会报告这些信息, VER-130,VER-129 等是不同 warning 信息的编码,具体含义可以查看帮助名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 26 页,共 30 页 - - - - - - - - - # #void warning Info # # suppress_message VER-130 suppress_message VER-129 suppress_message VER-318 suppress_message ELAB-311 suppress_message VER-936 /读入 example1.v文件,对应于图形界面的3 # #read&link&Check design# # read_file -format verilog /example1.v current_design EXAMPLE1 /把 EXAMPLE1 指定为当前设计的顶层模块/设置一些变量# # define IO port name # # set clk get_ports clk /设置变量 clk 的值是 get_ports clk,在下面的代码中若出现$clk 字样,则表示引用该变量的值,即用get_ports clk代替$clk。set rst_n get_ports rst_n set general_inputs list a b c set outputs get_ports o /设置约束条件,对应于图形界面的4 # # set_constraints # # /设置时钟约束,对应于图形界面的4.1 #1 set constraints for clock signals create_clock -n clock $clk -period 20 -waveform 0 10 /创建一个周期为20ns,占空比为 1 的时钟set_dont_touch_network get_clocks clock set_drive 0 $clk /设置时钟端口的驱动为无穷大set_ideal_network get_ports clk /设置时钟端为理想网线/设置复位信号约束,对应于图形界面的4.2 #2 set constraints for reset signals set_dont_touch_network $rst_n set_drive 0 $rst_n set_ideal_network get_ports rst_n 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 27 页,共 30 页 - - - - - - - - - /设置输入延时,对应图形界面的4.3 #3 set input delay set_input_delay -clock clock 8 $general_inputs /设置输出延时,对应图形界面的4.4 #4 set output delay set_output_delay -clock clock 8 $outputs /设置面积约束和设计约束,对应图形界面的4.5 #5 set design rule constraints set_max_fanout 4 $general_inputs set_max_transition 0.5 get_designs EXAMPLE1 #6 set area constraint set_max_area 0 /综合优化,对应图形界面的5 # # compile_design # # compile -map_effort medium /保存文件,对应图形界面的7 # # write *.db and *.v # # write -f db -hier -output /EXAMPLE1.db EXAMPLE1 -xg_force_db write -f verilog -hier -output /EXAMPLE1netlist.v EXAMPLE1 write_sdf -version 1.0 /EXAMPLE1.sdf /保存反标文件/产生报告并保存,对应图形界面的6 # # generate reports # # #1 report_area EXAMPLE1.area_rpt / 把 报 告 面 积 的 文 件 保 存 成EXAMPLE1.area_rpt 文件,运行完脚本以后可以查看该文件。#2 report_constraint -all_violators EXAMPLE1.constraint_rpt #3 report_timing EXAMPLE1.timing_rpt sh date /显示结束时间4. 查看报告文件名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 28 页,共 30 页 - - - - - - - - - 退出 DC 使用 ls 命令,可以查看当前目录下的文件, 大家可以看到在这个文件夹下有以下文件 EXAMPLE1netlist.v(网表文件), EXAMPLE1.area_rpt (面积报告),EXAMPLE1.constraint_rpt (约束报告), EXAMPLE1.db (db 文件) , EXAMPLE1.sdf(反标文件),EXAMPLE1.timing_rpt (时序报告)。例:查看面积报告文件使用 vi 编辑器查看 EXAMPLE1.area_rpt 文件,输入命令:vi EXAMPLE1.area_rpt 如下图所示,名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 29 页,共 30 页 - - - - - - - - - 上图中显示的就是EXAMPLE1.area_rpt 文件的内容,可以看到总面积是180.22平方微米。其它文件也可以用vi 编辑器查看。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 30 页,共 30 页 - - - - - - - - -

    注意事项

    本文(2022年dc使用教程 .pdf)为本站会员(C****o)主动上传,淘文阁 - 分享文档赚钱的网站仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知淘文阁 - 分享文档赚钱的网站(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于淘文阁 - 版权申诉 - 用户使用规则 - 积分规则 - 联系我们

    本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知淘文阁网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

    工信部备案号:黑ICP备15003705号 © 2020-2023 www.taowenge.com 淘文阁 

    收起
    展开