欢迎来到淘文阁 - 分享文档赚钱的网站! | 帮助中心 好文档才是您的得力助手!
淘文阁 - 分享文档赚钱的网站
全部分类
  • 研究报告>
  • 管理文献>
  • 标准材料>
  • 技术资料>
  • 教育专区>
  • 应用文书>
  • 生活休闲>
  • 考试试题>
  • pptx模板>
  • 工商注册>
  • 期刊短文>
  • 图片设计>
  • ImageVerifierCode 换一换

    最新vhdl课程设计报告17868.doc

    • 资源ID:33842961       资源大小:979KB        全文页数:8页
    • 资源格式: DOC        下载积分:15金币
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录   QQ登录  
    二维码
    微信扫一扫登录
    下载资源需要15金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    最新vhdl课程设计报告17868.doc

    精品资料vhdl课程设计报告17868.一、设计目的本课程设计的目的是熟练掌握相关软件的使用和操作。能对VHDL语言程序进行编译,调试,以及通过计算机仿真,得到正确的仿真波形图,并根据所得仿真波形图分析判断并改进所设计的电路。在成功掌握软件操作基础上,将所数字电路的基础课知识与VHDL语言的应用型知识结合起来并与实际设计,操作联系起来,即“理论联系实际”。深入了解VHDL语言的作用与价值,对用硬件语言设计一个电路系统开始具备一个较完整的思路与较专业的经验。对EDA技术有初步的认识,并开始对EDA技术的开发创新有初步的理解。二、设计内容及操作 1、设计循环彩灯控制器 1.1 设计内容设计一个循环彩灯控制器,该控制器控制红,绿,黄三个发光管循环点亮。要求红发光管亮3秒,绿发光管亮2秒,黄发光管亮1秒。1.2 程序设计LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY caideng_2 ISPORT(clk:IN STD_LOGIC;red,green,yellow:OUT STD_LOGIC);END ENTITY caideng_2;ARCHITECTURE example OF caideng_2 ISSIGNAL dout:STD_LOGIC_VECTOR(2 DOWNTO 0);SIGNAL m:STD_LOGIC_VECTOR(2 DOWNTO 0);BEGINred<=dout(2);green<=dout(1);yellow<=dout(0);PROCESS(clk) ISBEGIN IF(clk'EVENT AND clk='1') THEN IF(m="110") THEN m<="001"ELSE m<=m+1;END IF;CASE m IS WHEN "001"=>dout<="100" WHEN "010"=>dout<="100" WHEN "011"=>dout<="100" WHEN "100"=>dout<="010" WHEN "101"=>dout<="010" WHEN "110"=>dout<="001" WHEN OTHERS=>dout<="000" END CASE;END IF;END PROCESS;END ARCHITECTURE;1.3 仿真波形图1.4波形图分析在仿真时已经设置好开始时间和结束时间,根据以上的波形图可知,当clk信号处于高电平(高低电平可以根据自己所设计的情况自己定义),红发光管最先亮灯(高电平表示亮灯),时间为3s,3s之后绿发光管开始亮灯2s,2s结束黄发光管亮1s,以此循环亮灯,直到仿真结束时间。 2、设计两人抢答器2.1 设计内容 两人抢答,先抢为有效,用发光二极管显示是否抢到优先答题权。答题结束后,按复位键可重新抢答下一题。2.2 程序设计library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity qiangda_3 isport(reset:in std_logic;player:in std_logic_vector(1 downto 0);out2:out std_logic;fail:out std_logic;out1:out std_logic);end entity qiangda_3;architecture example of qiangda_3 issignal a:std_logic_vector(2 downto 0);beginout2<=a(2);out1<=a(1);fail<=a(0);process(reset,player) isbeginif(reset='0') thena<="000"elsecase player iswhen "00"=>a<="000"when "01"=>a<="010"when "10"=>a<="100"when others=>a<="001"end case;end if;end process;end architecture example;2.3 仿真波形图2.4波形图分析在仿真时已经设置好开始时间和结束时间,根据以上的波形图可知,复位信号reset处于高电平有效(高低电平可以根据自己所设计的情况自己定义),抢答信号低电平有效。当Play0先抢答时有效,则Out2输出有效,Out1输出无效。当Play1先抢答有效,则Out1输出有效,Out输出无效。当两人同时抢答则视为无效,fail输出为高电平。按复位键抢答重新开始。3、设计交通灯控制器3.1 设计内容 交通灯控制器:用于十字路口的交通灯控制器。要求:东西方向各有一组红,黄,绿灯用于指挥交通,红,黄,绿的持续时间分别为25s,5s,20s 。当有紧急情况(如消防车)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原来状态,正常工作。3.2 程序设计LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY jiaotongdeng_10 ISPORT( clk,INT:IN STD_LOGIC; red,green,yellow:OUT STD_LOGIC );END ENTITY jiaotongdeng_10;ARCHITECTURE example OF jiaotongdeng_10 ISSIGNAL dout:STD_LOGIC_VECTOR(2 DOWNTO 0);SIGNAL m:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINred<=dout(2);yellow<=dout(1);green<=dout(0);PROCESS(clk,INT) ISBEGINIF(INT='1') THEN -有紧急情况(如消防车)时dout<="100" - 红灯常亮 ELSIF(clk'EVENT AND clk='1') THEN IF(m="1010") THEN m<="0001"ELSE m<=m+1;END IF;CASE m IS WHEN "0001"=>dout<="100" WHEN "0010"=>dout<="100" WHEN "0011"=>dout<="100" WHEN "0100"=>dout<="100" WHEN "0101"=>dout<="100" WHEN "0110"=>dout<="010" WHEN "0111"=>dout<="001" WHEN "1000"=>dout<="001" WHEN "1001"=>dout<="001" WHEN "1010"=>dout<="001" WHEN OTHERS=>dout<="000"END CASE;END IF;END PROCESS;END ARCHITECTURE;3.3 仿真波形图3.4 波形图分析在仿真时已经设置好开始时间和结束时间,根据以上的波形图可知,中断信号INT高电平有效。根据题目要求遇到紧急情况(如消防车)中断信号高电平有效,红灯常亮,绿灯黄灯熄灭。正常情况下时钟周期是5秒,INT信号无效,红灯,黄灯,绿灯的持续时间分别为25s,5s,20s。三、设计总结与心得本次数字系统仿真与VHDL课程设计的课程设计的设计任务是将本学期VHDL硬件描述语言与数字逻辑电路设计和上学期所学的数字电路中所学的知识运用的实践中去,提高自己在理论与实践的相结合能力,进一步掌握本专业的各项知识,为以后的专业学习打下良好的基础。从一些基础掌握一些本专业所学的知识了解一些基础的实验工具如quartus ii的使用,本次的设计基本达到预期的效果。 过这次实训,我们在实践中学会了很多在平时的实验中无法学到得东西。将使我们在以后的工作和学习中受益匪浅。四、参考书目1、李辉,PLD与数字系统设计,西安电子科技大学出版社,20052、阎石,数字电子技术基础(第四版),高教出版社3、候伯亨、顾新,VHDL硬件描述语言与数字逻辑电路设计【M】西安电子科技大学出版社,2001-4。4、付家才,EDA工程实践技术 第二版, 北京化学工业出版社.20075、宋万杰,CPLD技术及其应用, 西安电子科技大学出版社,2000.6、徐志军,CPLD/FPGA的开发与应用,电子工业出版社,2002-77、徐志军,VHDL实用教程修订版,电子科技大学出版社 2001.7

    注意事项

    本文(最新vhdl课程设计报告17868.doc)为本站会员(1595****071)主动上传,淘文阁 - 分享文档赚钱的网站仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知淘文阁 - 分享文档赚钱的网站(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于淘文阁 - 版权申诉 - 用户使用规则 - 积分规则 - 联系我们

    本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知淘文阁网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

    工信部备案号:黑ICP备15003705号 © 2020-2023 www.taowenge.com 淘文阁 

    收起
    展开