2022年debussy使用教程 .pdf
Debussy使用指南一、Debussy介绍Debussy 是 NOVAS Software, Inc(思源科技 )发展的 HDL Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code 、 schematic diagram 、 waveform、 state bubble diagram之间, 即时做 trace,协助工程师 debug。注:本文使用的Debussy版本为: 54v9。Debussy本身不含模拟器 (simulator),必须呼叫外部模拟器 (如 Verilog-XL or ModelSim)产生 FSDB file ,其显示波形的单元 nWave透过读取 FSDB file,才能显示波形或讯号值的变化。二、启动与导入名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 1 页,共 9 页 - - - - - - - - - 启动 Debussy后,需要导入已编译成功的v 文件以及相应的 testbench 导入文件: FileImport Design 三、nTrace介绍3-1 在 Hierarchy browser点击“+”可以展开这个 testbench所引用的所有模块3-2 点击左侧的模块名称,右边的source code window 就会立即切换到相应的module 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 2 页,共 9 页 - - - - - - - - - 3-3 点击代码内的模块名称也会转到左侧的模块列表中你可以利用此方法轻易的追踪出project 中所有 design之间彼此的联系3-4 除了追踪design 之间的关联性,也可以用同样的方法追踪出信号的drivers与 loads。点选代码中的任意信号,使用工具栏中“D”与“L”可以查看此信号的drivers 与 loads,右侧的箭头用于选择上一个与下一个。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 3 页,共 9 页 - - - - - - - - - 四、 nSchema介绍点 击工 具 栏 中 的New Schematic 即 可 进 入nSchema,名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 4 页,共 9 页 - - - - - - - - - 上面的工具栏中,有常用的放大、缩小,这 2 个图标的功能是选择design 中的上一层与下一层,名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 5 页,共 9 页 - - - - - - - - - 当到达最底层时,可以通过双击某一图形单元查看其代码五、 nWave 介绍5-1 fsdb文件生成Debussy 中, nWave 只能导入fsdb 文件来观察波形,fsdb 文件通常是有ModelSim 软件生成的,但要让ModelSim 能成生成 fsdb 文件,必需要有如下步骤:第一步:挂 PLI 找到 Debussy安装目录下下的 novas.dll 文件,复制到 ModelSim 安装目录下 win32 中,找到modelsim.ini(通常在我的文档中或modelsim 安装目录下 ),去“只读”勾选进行编辑,找到vsim ,添加Veriuser = novas.dll第二步:修改环境变量变量名:D_LIBRARY_PATH 变量值:Debussy安装目录下的novas.dll如D:Debussyshareplimodelsim_pliwinntnovas.dll 变量名: PLIOBJS 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 6 页,共 9 页 - - - - - - - - - 变量值:同上(注:上述步骤只需配置一次)第三步:在 testbench中加入如下代码initial begin $fsdbDumpfile(filename_you_want.fsdb); $fsdbDumpvars; end 第四步:在 modelsim 中进行编译,仿真, run,之后就产生了 fsdb 文件5.2nWave使用点 击 工 具 栏 中New Waveform 进 入nWave。此时,窗口中并没有波形,需要手动导入,Fileopen,. 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 7 页,共 9 页 - - - - - - - - - 接着,按工具栏中,就会有信号供选择,双击加入。点击 Apply OK 后成功。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 8 页,共 9 页 - - - - - - - - - 此时,可以回到 Debussy主页面,选择 SourceActive Annotation 功能,观察数值变换。 nWave其他功能可自行探索。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 9 页,共 9 页 - - - - - - - - -