2022年通信原理试题 .pdf
Eda试题一、概念题(18 分)1、实验用的可编程器件FLEX10K10 内部门数是(D )。(A)6000 (B)8000 (C)3000 (D)10000 (2 分)2、VHDL英文全称是:Very-High-Speed Integrated Circuit HardwareDescription Language 超高速集成电路硬件描述语言(2分)3、我们 EDA实验用的软件平台是(max+plus2 )。(2 分)4、图标 a b 的功能分别是,a:编译 b:时间分析(4 分)5、IF-THEN-ELSE 语句是(顺序),WHEN-ELSE 语句是(顺序),WITH-SLECT-WHEN语句是(并行),FOR-LOOP 语句是(顺序)。(8 分)(A)顺序语句(B)并行语句(C)调用语句(D)并行语句二、简述题(12 分)1、简述 BUFFER 和 INOUT在用法上的区别。(4 分)INOUT为输入输出双向端口,即从端口内部看,可以对端口进行赋值,即输出数据。也可以从此端口读入数据,即输入。BUFFER 为缓冲端口,功能与INOUT类似,区别在于当需要读入数据时,只允许内部回读内部产生的输出信号,即反馈。举个例子,设计一个计数器的时候可以将输出的计数信号定义为BUFFER,这样回读输出信号可以做下一计数值的初始值,buffer顾名思义就是缓存,它是作为输出使用的,因为在模块内,是不可以将输出赋值给其他信号的,例如定义b:out std_logic;我们现在要将b 赋值给信号 a,就 会 出 错,但 是 如 果b的 类 型 为buffer就 可 以 执 行 操 作;inout是双向端口,即可以作为输入也可以作为输出,跟buffer的作用完全不同,要注意的是inout 类型的数据在不作为输入使用时必须被置为高阻“Z”状态,否则它作为输出的功能将不能正确执行2、简述实体和结构体各自的职能和它们之间的联系。(4 分)实体:声明到其实体及其设计的接口,即定义本设计的输入/出端口结构体:定义了实体的实现。即电路的具体描述名师资料总结-精品资料欢迎下载-名师精心整理-第 1 页,共 12 页 -所有能被仿真的实体都由一个结构体描述,结构体描述实体的行为功能。即设计的功能,是实体中的具体逻辑。一个实体可以有多个结构体,一种结构体可能为行为描述,而另一种结构体可能为设计的结构描述或数据通道的描述3、语句:TYPE m_state IS (st0,st1,st2,st3,st4,st5);SIGNAL present_state,next_state:m_state;分别是什么语句?在此,描述的是什么含义?(4 分)信号 present_state,next_state 的数据类型定义为m_state 他们的取值范围是可以枚举的,即从 st0-st5 共六种,这些状态代表六组唯一的二进制数值三、器件描述和功能判定(15 分)1、写出图 1 器件的实体描述,并估计器件功能(5 分)。entity decoder isport(adrin:in std_logic_vector(2 downto 0);deout:out std_logic_vector(7 downto 0);end decoder;三八译码器2、某器件结构体描述如下。(10 分)(1)试判定器件功能(4 分);(2)试用 CASE WHEN语句对结构体改写(6 分)。,ARCHITECTURE archAA OF AA IS begin PROCESS(s,a0,a1,a2,a3)q07 d02 en 名师资料总结-精品资料欢迎下载-名师精心整理-第 2 页,共 12 页 -begin if s=00 then y=a0;elsif s=01 then y=a1;elsif s=10 then y=a2;else yytyyy=“a3”;End Case;四、分析下例程序,给带“*”的语句加上注释,给出设计的结果。(35 分)程序 1(10 分)library ieee;use ieee.std_logic_1164.all;entity py is port (db:in std_logic_vector(7 downto 0);*db 为输入端口范围是从0-7 e_num,o_num:out std_logic);*e_num,o_num 为输出端口end py;architecture behave of py is begin process(db)variable tmp:std_logic;*在进程中定义的变量begin tmp:=0;变量赋初值*for i in 0 to 7 loop tmp:=tmp xor db(i);*变量赋值,将tmp 与 db 异或之后的值赋给tmp end loop;结束循环*o_num=tmp;将 tmp 赋给 0_num*e_num=not tmp;*将 tmp 非赋给 e_num end process;end behave;该程序设计的是:名师资料总结-精品资料欢迎下载-名师精心整理-第 4 页,共 12 页 -8 位奇偶校验电路程序 2(12 分)library ieee;use ieee.std_logic_1164.all;ENTITY fou_b ISPORT(clk :IN std_logic;x,reset:IN std_logic;z:out std_logic );END fou_b;ARCHITECTURE behave OF fou_b IS signal state:std_logic_vector(1 downto 0);constant sa:std_logic_vector(1 downto 0):=00;*constant sb:std_logic_vector(1 downto 0):=01;*constant sc:std_logic_vector(1 downto 0):=10;*constant sd:std_logic_vector(1 downto 0):=11;*BEGIN PROCESS(clk,reset)BEGIN IF reset=1 THEN state IF x=0 THEN state=sb;*else state IF x=1 THEN state =sc;*else state IF x=1 THEN state =sd;*else state IF x=1 THEN state=sa;*else state state if x=1 then z=1;else z z=0;*end case;end if;end process;END behave;(1)该设计描述的是:有限状态机(2)请画出该程序描述的状态转换图名师资料总结-精品资料欢迎下载-名师精心整理-第 6 页,共 12 页 -程序 3(13 分)LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;entity decoder_e is port (A:in std_logic_vector(2 downto 0);en:in std_logic;Y:out std_logic_vector(7 downto 0);end decoder_e;Architecture behave of decoder_e is 0/1/1/sa 1/0/0/0/sb sc sd x/z 1/RESET 名师资料总结-精品资料欢迎下载-名师精心整理-第 7 页,共 12 页 -signal sel:std_logic_vector(3 downto 0);*定义信号量 4 位 Begin sel(0)=en;*sel(1)=A(0);*sel(2)=A(1);*sel(3)=A(2);*WITH sel SELECT Y=“11111110”when “0001”;*“11111101”when “0011”;*“11111011”when “0101”;*“11110111”when “0111”;*“11101111”when “1001”;*“11011111”when “1011”;*“10111111”when “1101”;*“01111111”when “1111”;*“11111111”when others;*End behave;该设计描述的是什么器件?试列出它的真值表。三八译码器五、VHDL程序设计(20 分)试设计一个带同步置数,异步清零的60 进制 BCD码计数器。设计模为 60 的 8421BCD计数器,文件名 cntm60.vhd library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY cntm60 IS名师资料总结-精品资料欢迎下载-名师精心整理-第 8 页,共 12 页 -PORT(ci:INstd_logic;nreset:IN std_logic;load :INstd_logic;d:INstd_logic_vector(7 downto 0);clk:INstd_logic;co :outstd_logic;qh:buffer std_logic_vector(3 downto 0);ql:buffer std_logic_vector(3 downto 0);END cntm60;ARCHITECTURE behave OF cntm60 ISBEGIN co=1 when(qh=0101 and ql=1001 and ci=1)else 0;PROCESS (clk,nreset)BEGINIF(nreset=0)THEN qh=0000;ql=0000;ELSIF (clkEVENT AND clk=1)THENif(load=1)thenqh=d(7 downto 4);ql=d(3 downto 0);elsif(ci=1)thenif(ql=9)then名师资料总结-精品资料欢迎下载-名师精心整理-第 9 页,共 12 页 -ql=0000;if(qh=5)then qh=0000;else qh=qh+1;end if;else ql=ql+1;end if;END IF;-end if LOAD END IF;-end if _reset END PROCESS;END behave;通信原理试题注:原稿为手抄版,信息有不准。一、选择题(每题2分,共 20分)1、设某离散信源有32个等概率出现的、相互统计独立的符号组成,在信道容量为10000比特/秒的数字信道中传输该信号,理论上可靠传输的上限为()。A、1000符号/秒 B、2000符号/秒 C、4000符号/秒 D、5000符号/秒2、对于 2FSK信号进行非相干解调,其输出差错概率可表示为()。A、1erfc()2r B、1erfc()22r C、12re-D、212re-3、PCM30/32系统中,每路话音信号编码的速率为()。A、4KHz B、64KHz C、2.048MHz D、1.544MHz 4、在数字通信系统中,若采用16QAM 调制方式传输,无码间干扰所能达到的最高频带利用率为()。A、1 Baud/Hz B、2 Baud/Hz C、3 Baud/Hz D、4 Baud/Hz 5、在数字基带系统中,设发送符号1和符号 0的概率分别为 P(1)和P(0),抽样时刻值分别为A和0,噪声功率为,为使输出误码率最小,最佳判决门限应为()。2n A、2A B、2(0)ln22(1)nAPAP+名师资料总结-精品资料欢迎下载-名师精心整理-第 10 页,共 12 页 -C、2(0)ln2(nAP AP+D、22(0)ln2(nAPAP+6、长度为 7的巴克码,其局部自相关函数为()Rn,则()。(3)R=A、1 B、0 C、1 D、7 7、在时,对于2FSKC、2PSKC、2DPSKC和 2FSKNC 四种调制方式(其中尾部C表示相干解调,NC表示非相干解调)的解调差错概率由小到大的排列是()。0/10dbEN=A、2FSKC2PSKC2FSKNC 2DPSKC B、2DPSKC2PSKC2FSKNC2FSKC C、2PSKC2DPSKC 2FSKC2FSKNC D、2PSKC2FSKC 2DPSKC2FSKNC 8、对 BPSK调制信号采用Costas环提取相干载波,若BPSK信号为,本地载波与发端载波的相差为()mt?,则环路中压控振荡器的控制电压为()A、2()cos2kmt?B、2()sin2kmt?C、2()coskmt?D、2()sinkmt?9、(原稿丢失)10、在一个分组码中,若要在码组内检测出2个错误,同时纠正1个错误的最小码距是()A、2 B、3 C、4 D、5 二、填空题(前5题每空 1分,后 6题每空 2分,共 30分)1、编码信道可以分为()和()信道。2、(第六章)数字通信中常用的最佳接收准则有()、()、()和()。3、窄带高斯噪声的随机包络服从()分布,随机相位服从()分布。4、同步技术主要包括()同步、()同步和()同步。5、若采用 BPSK调制方式传输数据,已知码元传输速率为1200Baud,则它的带宽为()。6、均匀量化 PCM系统中,取样速率为8KHz,输入为单音正弦信号时,若编码后比特率由16 kbit/s增加到 64 kbit/s,则量化信噪比增加了()dB。7、设简单增量调制系统的量化阶为50mV,抽样频率为32KHz,当输入信号为800Hz正弦波名师资料总结-精品资料欢迎下载-名师精心整理-第 11 页,共 12 页 -时,允许的最大振幅为()。8、已知信息代码100000000011000001000011,相应的 HDB3码为()。9、若随参信道的两径时延差为0.1ms,则在()频率上传输衰耗最大,选用()频率对信号最有利。10、设群同步码组中的码元数,系统的误码率为,当最大允许错码数为,则假同步概率为(),漏同步概率为()。7n=310eP-=1m=11、码长为 15的汉明码,其监督元的位数是(),编码效率为()。三、当均衡器的输入序列为114x-=,01x=112x=,其余kx为0,采用 3抽头横向均衡器,使用峰值畸变最小化方法,求抽头系数、。1c-0c1c 四、对 10路带宽为 3003400Hz的模拟话音信号进行PCM时分复用传输,抽样速率为8KHz,抽样后进行 8级量化,并编为自然二进制码,码元波形是宽度为的矩形脉冲且占空比为1,试求传输此时分复用PCM信号所需的带宽。五、设 PCM系统中,模拟信号的样值电流为1011,用 13折线 A律进行编码,试求编出的码字及解码后的量化误差。六、若给定低通型信道的带宽为2400Hz,在此信道上进行基带传输,当基带形成滤波器特性分别为理想低通、50%余弦滚降和 100%余弦滚降时,试求无码间传输的最高码元速率及相应的频带利用率各为多少?并简述基带传输系统中为什么要做余弦滚降?七、采用 2FSK方式,在有效带宽为2400Hz的传输信道上传送二进制数字信息。已知2FSK信号的两载频为 f1980Hz,f21580Hz,码元速率为Baud,传输信道输出端的信噪比为6dB,试求:300BR=(1)、2FSK信号的第一零点带宽;(2)采用包络检波法解调时,系统的误码率。八、假设在 2DPSK系统中,载波频率为2400Hz,码元速率为1200Baud,已知绝对码序列为1100010111,(1)画出 2DPSK信号波形;(2)画出采用差分相干解调法接收信号时解调系统的各点波形;(3)若发送信息符号“0”和“1”的概率分别为 0.6和0.4,求该信号的功率谱。九、已知(7,3)循环码生成矩阵为101110001011100010111 G?=?(1)、试写出该(7,3)循环码的生成多项式、典型生成矩阵和典型监督矩阵()gxGH;(2)、若输入信息码为110,试写出对应的循环码码组;(3)、该码能纠正几位错误?名师资料总结-精品资料欢迎下载-名师精心整理-第 12 页,共 12 页 -