欢迎来到淘文阁 - 分享文档赚钱的网站! | 帮助中心 好文档才是您的得力助手!
淘文阁 - 分享文档赚钱的网站
全部分类
  • 研究报告>
  • 管理文献>
  • 标准材料>
  • 技术资料>
  • 教育专区>
  • 应用文书>
  • 生活休闲>
  • 考试试题>
  • pptx模板>
  • 工商注册>
  • 期刊短文>
  • 图片设计>
  • ImageVerifierCode 换一换

    第3章 组合逻辑电路1.ppt

    • 资源ID:66865741       资源大小:2.67MB        全文页数:90页
    • 资源格式: PPT        下载积分:16金币
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录   QQ登录  
    二维码
    微信扫一扫登录
    下载资源需要16金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    第3章 组合逻辑电路1.ppt

    第第3章章 组合逻辑电路组合逻辑电路本章主要内容:本章主要内容:3.1 组合逻辑电路特点组合逻辑电路特点 3.2 小规模集成电路构成的组合电路的分析与设计小规模集成电路构成的组合电路的分析与设计3.3 编码器编码器3.4 译码器译码器3.5 数据分配器与数据选择器数据分配器与数据选择器3.6 数值比较电路数值比较电路3.7 算术运算电路算术运算电路3.8 奇偶校验电路奇偶校验电路3.9 用中规模集成电路构成的组合电路的设计用中规模集成电路构成的组合电路的设计3.10 组合逻辑电路的竞争组合逻辑电路的竞争-冒险冒险3.1 组合逻辑电路特点组合逻辑电路特点 组合逻辑电路的特点组合逻辑电路的特点任意时刻的输出现状态取决于该时刻输入信号的状态,任意时刻的输出现状态取决于该时刻输入信号的状态,而与信号作用之前电路的状态无关。而与信号作用之前电路的状态无关。组合逻辑电路在结构上的特点组合逻辑电路在结构上的特点在结构上不存在输出到输入的反馈通路。在结构上不存在输出到输入的反馈通路。3.1 组合逻辑电路特点组合逻辑电路特点 组合逻辑电路的框图表示组合逻辑电路的框图表示 3.2小规模集成电路构成的组合电路小规模集成电路构成的组合电路的分析与设计的分析与设计3.2.1 分析方法分析方法从电路的输入到输出逐级写出逻辑函数式,通过从电路的输入到输出逐级写出逻辑函数式,通过简化的函数式或真值表,确定电路的逻辑功能。简化的函数式或真值表,确定电路的逻辑功能。【例【例3-1】试分析电路的逻辑功能,要求写出逻辑表达式,试分析电路的逻辑功能,要求写出逻辑表达式,列出真值表列出真值表3.2小规模集成电路构成的组合电路小规模集成电路构成的组合电路的分析与设计的分析与设计从电路的输入到输出逐级写出逻辑函数式。从电路的输入到输出逐级写出逻辑函数式。该电路可用于该电路可用于3人表决,多数同人表决,多数同 意,表决通过。通常将该逻辑电路意,表决通过。通常将该逻辑电路称为三人表决电路。称为三人表决电路。3.2小规模集成电路构成的组合电路小规模集成电路构成的组合电路的分析与设计的分析与设计3.2.2 设计设计方法方法设计是按照给定的逻辑问题,运用相应的器件,设计是按照给定的逻辑问题,运用相应的器件,设计出能实现其逻辑功能的电路。设计出能实现其逻辑功能的电路。设计通常可按以下步骤进行:设计通常可按以下步骤进行:(1)分析事件的因果关系)分析事件的因果关系,确定输入变量和输出变量。确定输入变量和输出变量。(2)定义逻辑状态的含意并对逻辑变量赋值。)定义逻辑状态的含意并对逻辑变量赋值。(3)根据给定事件的因果关系列出真值表。)根据给定事件的因果关系列出真值表。(4)由真值表写出对应的逻辑函数式。)由真值表写出对应的逻辑函数式。(5)选定器件的类型。)选定器件的类型。3.2.2 设计设计方法方法(6)将逻辑函数化简或变换。)将逻辑函数化简或变换。(7)根据简化或变换了的逻辑函数表达式画出逻辑)根据简化或变换了的逻辑函数表达式画出逻辑【例例3-2】试用六个与非门设计一个水箱控制电路。试用六个与非门设计一个水箱控制电路。A、B、C为三个电极。当电极被水浸没时,会有信号输出。水面为三个电极。当电极被水浸没时,会有信号输出。水面在在A、B间为正常状态,点亮绿灯间为正常状态,点亮绿灯G;水面在;水面在B、C间或在间或在A以上为警示状态,点亮黄灯以上为警示状态,点亮黄灯Y;水面在;水面在C以下为危险状态,以下为危险状态,点亮红灯点亮红灯R。3.2.2 设计设计方法方法解:确定输入输出变量并状态赋值,列真值表。解:确定输入输出变量并状态赋值,列真值表。3.2.2 设计设计方法方法由真值表画出卡诺图由真值表画出卡诺图3.2.2 设计设计方法方法化简化简3.2.2 设计设计方法方法【例例3-3】某工厂有某工厂有A、B、C三个车间,各需电力三个车间,各需电力10kW,由厂变电所的,由厂变电所的X、Y两台变压器供电。其中两台变压器供电。其中X变压器的功率为变压器的功率为13kW,Y变压器的功率为变压器的功率为25kW。为合理供电,试用为合理供电,试用VHDL语言设计一个供电控制电语言设计一个供电控制电路。路。解:设三个输入变量分别为A、B、C,输出函数分别为X、Y。控制电路实体顶层图3.2.2 设计设计方法方法library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity ABCtoXY is port(A,B,C:in std_logic;X,Y:out std_logic);end ABCtoXY;architecture ctrl of ABCtoXY issignal sel:std_logic_vector(2 downto 0);beginsel=A&B&C;X=1 when sel=”001”else1 when sel=”010”else1 hen sel=”100”else1 when sel=”111”else0;YBi,AiBi,Ai=Bi。3.6.2 一位比较器一位比较器用门电路实现的一位比较器。用门电路实现的一位比较器。3.6.3 四位比较器四位比较器CC14585是四位数值比较器集成电路是四位数值比较器集成电路。3.7.1 二进制加法运算二进制加法运算两个两个n位二进制数相加的过程,是从最低有效位开始位二进制数相加的过程,是从最低有效位开始相加,形成和数并传送进位最后得到结果。相加,形成和数并传送进位最后得到结果。最低位只有加数和被加数相加,这种两个一位数相最低位只有加数和被加数相加,这种两个一位数相加称为半加;加称为半加;完成加数、被加数、低位的进位数三个一位数相加完成加数、被加数、低位的进位数三个一位数相加称为全加。称为全加。实现半加运算的电路称为半加器实现半加运算的电路称为半加器实现全加运算的电路称为全加器。实现全加运算的电路称为全加器。3.7.1 二进制加法运算二进制加法运算1.半加器和全加器半加器和全加器3.7.1 二进制加法运算二进制加法运算1.半加器和全加器半加器和全加器【例例3-13】试设计一位二进制全加器。它的两个数试设计一位二进制全加器。它的两个数据输入为据输入为Ai和和Bi,进位输入为,进位输入为Ci,和及进位输出分,和及进位输出分别为别为Si和和Ci+1。解:根据二进制加法法则可以列出的真值表见表解:根据二进制加法法则可以列出的真值表见表3.7.1 二进制加法运算二进制加法运算1.半加器和全加器半加器和全加器其表达式为其表达式为3.7.1 二进制加法运算二进制加法运算1.半加器和全加器半加器和全加器3.7.1 二进制加法运算二进制加法运算2.加法器加法器实现多位二进制数加法运算的电路称为加法器。按各位数相加方式不同可分为串行加法器和并行加法器。并行加法器按进位方式又可分为串行进位并行加法器和超前进位并行加法器两种。3.7.1 二进制加法运算二进制加法运算2.加法器加法器四位超前进位加法器742833.7.1 二进制加法运算二进制加法运算2.加法器加法器四位超前进位加法器742833.7.2 二进制减法运算二进制减法运算1.用补码完成减法运算用补码完成减法运算X与与Y的减法运算可写成的减法运算可写成X-YX+-Y的补码加法的补码加法运算运算运算步骤如下:运算步骤如下:(1)把减法运算表示成加法运算;)把减法运算表示成加法运算;(2)将两数各自求补;)将两数各自求补;(3)将求补后的两个补码相加,如有溢出则丢掉,然后再对)将求补后的两个补码相加,如有溢出则丢掉,然后再对运算结果求补,可得到原码表示的值。运算结果求补,可得到原码表示的值。3.7.2 二进制减法运算二进制减法运算2.求反电路求反电路M1时异或门输出为输入的反码;时异或门输出为输入的反码;M0时输出与输时输出与输入相同。入相同。3.7.3 二进制乘法运算二进制乘法运算用与门实现的一位乘法器用与门实现的一位乘法器3.7.3 二进制乘法运算二进制乘法运算【例例3-18】试设计试设计4位无符号数的组合乘法器。位无符号数的组合乘法器。解:假设被乘数解:假设被乘数a=a3a2a1a0,乘数,乘数b=b3b2b1b0,a和和b均为无符号整数。均为无符号整数。3.7.4 算术逻辑单元算术逻辑单元算术逻辑单元(算术逻辑单元(ALU,arithmetic and logic unit)不仅能做加法、减法等算术运算,而且也能实现与、不仅能做加法、减法等算术运算,而且也能实现与、与非、或、或非、异或、数码比较等逻辑运算。与非、或、或非、异或、数码比较等逻辑运算。【例例3-19】试设计一个逻辑框图如图试设计一个逻辑框图如图3-43所示、其功所示、其功能如表能如表3-21及及3-22所示的一位算术逻辑单元。其中所示的一位算术逻辑单元。其中M端为方式控制输入端,端为方式控制输入端,M1执行算术运算,执行算术运算,M0执执行逻辑运算。行逻辑运算。S1、S0为操作选择输入端,为操作选择输入端,Ai、Bi是参是参加操作的两个数据输入端,加操作的两个数据输入端,Ci是算术运算的进位输入是算术运算的进位输入端,端,Ci+1是进位输出端,是进位输出端,Fi为算术运算或逻辑运算结为算术运算或逻辑运算结果输出端。果输出端。3.7.4 算术逻辑单元算术逻辑单元3.7.4 算术逻辑单元算术逻辑单元将真值表转化为最简的与或表达式,其结果为:将真值表转化为最简的与或表达式,其结果为:变换为:变换为:3.7.4 算术逻辑单元算术逻辑单元同理可写出同理可写出Ci+1的表达式:的表达式:根据表达式画出的根据表达式画出的ALU逻辑图如图逻辑图如图3-44所示。所示。3.7.4 算术逻辑单元算术逻辑单元3.8 奇偶校验电路奇偶校验电路奇偶校验电路是根据传输代码的奇偶性检查数据奇偶校验电路是根据传输代码的奇偶性检查数据传输过程中是否出现错误的电路。传输过程中是否出现错误的电路。3.8.1 奇偶校验的基本原理奇偶校验的基本原理奇偶校奇偶校验验的基本方法就是在待的基本方法就是在待发发送的有效数据位(信息送的有效数据位(信息码码)之外再增加一位奇偶校之外再增加一位奇偶校验验位位(又称又称监监督督码码)构成构成传输码传输码。校校验验位的加入,使位的加入,使传输码传输码中含中含1的个数可以的个数可以为为奇数(奇校奇数(奇校验验),也可以是偶数(偶校),也可以是偶数(偶校验验)。)。在接收端通在接收端通过检查过检查接收到的接收到的传输码传输码中中1的个数的奇偶性,的个数的奇偶性,就可以判断就可以判断传输过传输过程中是否出程中是否出现现了了错误错误。3.8.1 奇偶校验的基本原理奇偶校验的基本原理奇偶校验的原理框图奇偶校验的原理框图3.8.1 奇偶校验的基本原理奇偶校验的基本原理【例例3-20】结合图结合图3-46所示的原理图,试设计三所示的原理图,试设计三位二进制码的并行奇校验电路。位二进制码的并行奇校验电路。解:假设三位二进制码用解:假设三位二进制码用A、B、C组合表示,奇组合表示,奇偶发生器产生的奇校验位用偶发生器产生的奇校验位用WOD1表示,奇偶校验表示,奇偶校验器的奇校验输出用器的奇校验输出用WOD2表示。表示。列出如表所示的三位二进制码的奇校验传输码表列出如表所示的三位二进制码的奇校验传输码表由表可得奇偶发生器的输出由表可得奇偶发生器的输出也可得奇偶校验器的输出也可得奇偶校验器的输出3.8.1 奇偶校验的基本原理奇偶校验的基本原理 3.8.1 奇偶校验的基本原理奇偶校验的基本原理 由表达式画出的三位二由表达式画出的三位二进进制制码码的并行奇校的并行奇校验电验电路如路如图图3-47所示。所示。图图中中WE1、WE2分分别为别为偶校偶校验监验监督督码码和偶校和偶校验输验输出出检验码检验码。3.8.2中规模集成奇偶发生器中规模集成奇偶发生器/校验器校验器 74HC280是是CMOS中规模集成奇偶发生器校验器。中规模集成奇偶发生器校验器。既可做为奇偶发生器,也可做为奇偶校验器。既可做为奇偶发生器,也可做为奇偶校验器。A、B、C、I是九位输入代码,是九位输入代码,WOD是奇校验输出是奇校验输出端,端,WE是偶校验输出端。是偶校验输出端。3.8.2中规模集成奇偶发生器中规模集成奇偶发生器/校验器校验器 八位奇校验系统八位奇校验系统3.9 用中规模集成电路构成的组合电用中规模集成电路构成的组合电路的设计路的设计 用中规模集成电路设计组合逻辑电路通常的设计步骤如下:(1)分析事件的因果关系,确定输入变量和输出变量,列出真值表。(2)由真值表写出逻辑函数表达式。(3)将逻辑函数表达式变换成与所用的中规模集成电路逻 辑函数式相似的形式,并采用对比法进行比较,从而确定中规模集成电路的输入。【例3-21】试用74HC138实现逻辑函数。解:74HC138的逻辑函数表达式与要实现逻 辑函数表达式是相似的。设A2=A,A1=B,A0=C,则 根据此式画出实现逻辑函数的逻辑图3.9 用中规模集成电路构成的组合电用中规模集成电路构成的组合电路的设计路的设计 3.9 用中规模集成电路构成的组合电用中规模集成电路构成的组合电路的设计路的设计【例3-22】试用八选一数据选择器实现逻辑函数解:用数据选择器实现逻辑函数时可以采用函数表达式对比,也可以使用真值表对比。函数表达式对比:八选一数据选择器的输出逻辑函数:3.9 用中规模集成电路构成的组合电用中规模集成电路构成的组合电路的设计路的设计 令A=A2,B=A1,C=A0,则被实现的逻辑函数为:比较两个表达式,可得 真值表对比:将数据选择器的真值表与被实现逻辑函数真值表列在一起并对比,求出D0D7的值。3.9 用中规模集成电路构成的组合电用中规模集成电路构成的组合电路的设计路的设计 真值表和逻辑图3.9 用中规模集成电路构成的组合电用中规模集成电路构成的组合电路的设计路的设计【例3-23】试用四选一数据选择器设计一判定电路。只有在主裁判同意的前提下,三名副裁判中多数同意,比赛成绩才被承认,否则比赛成绩不予承认。解:设主裁判为A,三名副裁判分别为B、C、D,同意用1表示,不同意用0表示;比赛成绩为F。承认用1表示,不承认用0表示。令A=A1,B=A0。此时C、D成为数据输入。3.9 用中规模集成电路构成的组合电用中规模集成电路构成的组合电路的设计路的设计 根据题意列出的真值表3.9 用中规模集成电路构成的组合电用中规模集成电路构成的组合电路的设计路的设计【例3-24】试用四位二进制加法器74283实现一个十进制调整电路,假设输入的数据为四位二进制数。解:由二进制数转换为BCD时分两种情况:当输入的四位二进制数所对应的十进制数值大于9时,则需要进行加6调整否则,不需要修正。3.9 用中规模集成电路构成的组合电用中规模集成电路构成的组合电路的设计路的设计 当输入的四位二进制数小于、等于9时,相当于原输入的四位二进制数与0相加。而当输入的四位二进制 数大于9时,应与6相加。3.9 用中规模集成电路构成的组合电用中规模集成电路构成的组合电路的设计路的设计 3.10 组合逻辑电路的竞争组合逻辑电路的竞争-冒险冒险3.10.1 竞争竞争-冒险的产生冒险的产生组合逻辑电路组合逻辑电路在在输入输入信号输入输入信号逻辑电平发逻辑电平发生变化的瞬间,生变化的瞬间,电路有电路有可能会产生竞争可能会产生竞争-冒冒险现象。险现象。竞争竞争是指逻辑门的两个输入信号从不同电是指逻辑门的两个输入信号从不同电平同时向相反电平跳变的现象平同时向相反电平跳变的现象。由由于于竞竞争争而而在在电电路路的的输输出出端端产产生生与与逻逻辑辑电电平相违背的尖脉冲现象称为竞争平相违背的尖脉冲现象称为竞争-冒险冒险。并并不不是是说说有有竞竞争争的的存存在在,就就一一定定产产生生竞竞争争-冒险冒险。3.10.1 竞争竞争-冒险的产生冒险的产生电路中,A由1变为0后会使输出产生了尖脉冲 3.10.1 竞争竞争-冒险的产生冒险的产生与非门与非门两个输入信号两个输入信号A和和B同时向相反的状态变同时向相反的状态变化,即化,即A从从0变变1,B从从1变变0。由于由于边沿不陡,造成瞬间两输入电平均超过边沿不陡,造成瞬间两输入电平均超过阈阈值电压,值电压,使输出产生了尖脉冲。使输出产生了尖脉冲。3.10.1 竞争竞争-冒险的产生冒险的产生3.10.2 竞争竞争-冒险的判断冒险的判断当逻辑门的两个输入,一个从0变1,另一个从1变0,而且是同时发生的,就可能存在竞争-冒险。在逻辑函数表达式中,当某个变量以原变量和反变量出现时,其它变量取1或取0,若得到表达式为 或 则可以判定存在竞争-冒险。实验是另一种判定竞争-冒险的方法。通过实验来检查电路的输出端是否有因竞争-冒险而产生的尖峰脉冲。借助计算机辅助分析软件也为检查复杂数字电路的竞争-冒险现象提供了有效的手段。3.10.2 竞争竞争-冒险的判断冒险的判断3.10.3 竞争竞争-冒险的消除冒险的消除1.修改逻辑设计 在产生竞争冒险的逻辑函数表达式上加上冗余项,即可消除竞争冒险。2.接入滤波电容 在输出端并接一个几十至几百皮法的滤波电容,可以把尖峰脉冲的幅度削弱至门电路的阈值电压以下。3.引入封锁脉冲 在产生竞争冒险的时间内,引入封锁脉冲。4.引入选通脉冲 在电路达到稳定状态之后,加入选通脉冲,3.10.3 竞争竞争-冒险的消除冒险的消除

    注意事项

    本文(第3章 组合逻辑电路1.ppt)为本站会员(s****8)主动上传,淘文阁 - 分享文档赚钱的网站仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知淘文阁 - 分享文档赚钱的网站(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于淘文阁 - 版权申诉 - 用户使用规则 - 积分规则 - 联系我们

    本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知淘文阁网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

    工信部备案号:黑ICP备15003705号 © 2020-2023 www.taowenge.com 淘文阁 

    收起
    展开