EDA七段译码器实验报告(共1页).doc
《EDA七段译码器实验报告(共1页).doc》由会员分享,可在线阅读,更多相关《EDA七段译码器实验报告(共1页).doc(1页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
精选优质文档-倾情为你奉上 实验目的掌握七段译码器实验设备quartus II 5.0实验内容library ieee;use ieee.std_logic_1164.all;entity qdymq is port(a:in std_logic_vector(3 downto 0); led:out std_logic_vector(7 downto 0);end qdymq;architecture one of qdymq isbegin with a select led=00111111when0000, 00000110when0001, 01011011when0010, 01001111when0011, 01100110when0100, 01101101when0101, 01111101when0110, 00000111when0111, 01111111when1000, 01101111when1001, ZZZZZZZZwhen others;end one; 总结七段译码器原理图功能正确。 专心-专注-专业
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- EDA 译码器 实验 报告
限制150内