2022年EDA课程报告正弦波信号发生器的方案设计书.docx
《2022年EDA课程报告正弦波信号发生器的方案设计书.docx》由会员分享,可在线阅读,更多相关《2022年EDA课程报告正弦波信号发生器的方案设计书.docx(27页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、精品学习资源封面欢迎下载精品学习资源作者: PanHongliang仅供个人学习EDA课程设计报告正弦波信号发生器的设计一、设计目的:欢迎下载精品学习资源进一步熟识 QuartusII及其 LPM_RO与M FPGA硬件资源的使用方法;培养动手才能以及合作才能;二、设计要求:1、clk 为 12MHz;2、通过 DAC0832输出正弦波电压信号,电压范畴0-5V;3、通过示波器观看波形;三、设计内容:在 QUARTUSII上完成正弦波信号发生器的设计,包括仿真和资源利用情形明白(假设利用Cyclone 器件);最终在试验系统上实测,包括 FPGA中 ROM的在系统数据读写测试和利用示波器测试;
2、信号输出的 D/A 使用试验系统上的 ADC083;2四、 设计原理:图 1 所示的正弦波信号发生器的结构由四部分组成:1、 计数器或地址发生器(这里选择 10 位);2、 正弦信号数据ROM( 10 位地址线, 8 位数据线),含有1024 个 8 位数据(一个周期);3、 VHDL顶层设计;4、 8 位 D/A(试验中可用 ADC0832代替);图 1 所示的信号发生器结构图中,顶层文件singt.vhd在FPGA中实现,包含两个部分: ROM的地址信号发生器,由 10 位计数器担任;一个正弦数据ROM,由 LPM_ROM模块构成; LPM_ROM底层是 FPGA中的 EAB、ESB或 M
3、4K等模块;地址发生欢迎下载精品学习资源VHDL 顶层设计 singt.vhd10 位计数器(地址发生器)正弦波数据8 位 D/A图 1储备 ROM正弦信号发生器结构框图器的时钟 clk 的输入频率 fo 与每周期的波形数据点数(在此选择 1024 点),以及 D/A 输出的频率 f 的关系是: f=fo/1024图 2正弦波信号发生器的设计图五、 设计步骤:1、 建立.mif格式文件第一, mif 文件可用 C 语言程序生成,产生正弦波数值的C 程序如下:#include #include mainint i;float s;fori=0;i sdata.mif;将生成的 sdata.mif
4、文件,再加上 .mif文件的头部说明即可;.mif文件的头部说明如下所示:WIDTH=;8DEPTH=102;4ADDRESS_RADIX=D;ECDATA_RADIX=D;ECCONTENT BEGIN 0:127 ;1:130 ;2:133 ;3:136 ;4:139 ;5:143 ;6:146 ;(数据略去)1016:102 ;1017:105 ;1018:108 ;欢迎下载精品学习资源1019:111 ;1020:115 ;1021:118 ;1022:121 ;1023:124 ;END;2、 在设计正弦波信号发生器前,必需第一完成存放波形数据ROM的设计;利用 MegaWizard
5、 Plug-In manager定制正弦信号数据 ROM宏功能块,并将以上的波形数据加载于此ROM中;设计步骤如下:1) 打开 MegaWizard Plug-Inmanager 初始对话框; 在Tools菜单中选择 MegaWizard Plug-In manager产生一个对话框,选择 Create a new custom.项,即定制一个新的模块;单击 Next 按钮后,在所产生的对话框的左栏选择Storage 项下的 LPM_RO,M再选择 Cyclone 器件和 VHDL语言方式;最终输入 ROM文件存放的路径和文件名: e:wwwrom_1024.vhd ,单击Next 按钮;2)
6、 选择 ROM把握线、地址线和数据线;在弹出的对话框中选择地址线位宽和 ROM中数据数分别为 10 和 1024;选择地址锁存把握信号 clock ;3) 单击 Next 按钮在对话框的“ What should the RAM ”栏选择默认的Auto ;在栏选择“ Do you want toYes,use欢迎下载精品学习资源this file for memory content data”项,并按 Browse 钮, 选择指定路径上的文件rom_1024.mif ;在“ Allow In-System Memory ” 栏选 择打勾, 并在“ The InstanceIDofthisRO
7、M”栏输入 ROM,1 作为 ROM的 ID 名称;最终单击 Next 按钮,再单击 Finish按钮后完成 ROM定制;4) 打开此文件可以看到其中调用初始化数据文件的语句为: init_file = sdata.mif;最终生成的 ROM元件文件如源代码 1 所示,其中的 init_file指向已做了修改;修改后用于例化的波形数据 ROM文件 rom_1024.vhd 如下;六、 源代码:1)、源代码 1 如下所示:LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY altera_mf ;USE altera_mf.altera_mf_comp
8、onents.all;ENTITY rom_1024 IS PORTaddress: IN STD_LOGIC_VECTOR 9 DOWNTO;0clock: IN STD_LOGIC ;q: OUT STD_LOGIC_VECTOR 7 DOWNTO 0 ;END rom_1024;欢迎下载精品学习资源ARCHITECTURE SYN OF rom_1024 ISSIGNALsub_wire0:STD_LOGIC_VECTOR7 DOWNT;O 0COMPONENT altsyncram-例化 altsyncram元件,调用了 LPM模块 altsyncram GENERIC -参数传递语句
9、-类属参量数据类型定义intended_device_family: STRING;width_a: NATURAL;widthad_a: NATURAL; numwords_a: NATURAL; operation_mode: STRING;outdata_reg_a: STRING;address_aclr_a: STRING;outdata_aclr_a: STRING;width_byteena_a: NATURAL; init_file: STRING;lpm_hint: STRING;lpm_type: STRING ;PORT - altsyncram元件接口声明clock0:
10、 IN STD_LOGIC ;address_a: IN STD_LOGIC_VECTOR 9 DOWNTO ;0欢迎下载精品学习资源q_a: OUT STD_LOGIC_VECTOR 7 DOWNTO 0 ;END COMPONE;NTBEGINqCyclone,-参数传递映射width_a = 8,-数据线宽度 8widthad_a = 10,-地址线宽度 10numwords_a = 1024,-数据数量 1024 operation_mode = ROM,-LPM模式 ROM outdata_reg_a = CLOCK0,-输出锁存 CLOCK0 address_aclr_a = NO
11、NE,-无异步地址清 0 outdata_aclr_a = NONE,-无输出锁存异步清 0 width_byteena_a = 1, -byteena_a输出口宽度 1 init_file = sdata.mif, -ROM初始化数据文件lpm_hint = ENABLE_RUNTIME_MOD=NO,lpm_type = altsyncram-LPM类型PORT MAP 欢迎下载精品学习资源clock0 = clock, address_a = address, q_a = sub_wire0 ;END SYN;2)、顶层设计代码: library ieee;use ieee.std_lo
12、gic_1164.all;use ieee.std_logic_unsigned.allentity singt is;portclk : in std_logic;-信号源时钟dout : out std_logic_vector7 downto 0;-8 位波形数据输出end singt;architecture dacc of singt is component rom_1024- 调用波形数据储备器 LPM_ROM,文件:rom_1024.vhd 声明portaddress:in std_logic_vector9 downto 0;-10 位地址信号clock : in std_l
13、ogic; -地址锁存时钟q:out std_logic_vector7 downto 0;欢迎下载精品学习资源end component;signal q1:std_logic_vector9 downto 0;- 设内部节点作为地址计数器beginprocessclk-LPM_ROM地址发生进程beginif clkevent and clk=1 thenq1q1,q=dout,clock=clk; - 例化end dacc ;3)为此顶层设计创建一项工程,工程名和实体名都是singt ;4)全程编译一次后进入时序仿真测试;由波形可见,随着每一个时钟上升沿的到来,输出端口将正弦波数据依次输
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 2022 EDA 课程 报告 正弦波 信号发生器 方案设计
限制150内