2022年EDA课程方案设计书多功能数字钟.docx
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_05.gif)
《2022年EDA课程方案设计书多功能数字钟.docx》由会员分享,可在线阅读,更多相关《2022年EDA课程方案设计书多功能数字钟.docx(18页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、精品学习资源封面欢迎下载精品学习资源作者: PanHongliang仅供个人学习哈尔滨工业高校 威海 电子学课程设计报告欢迎下载精品学习资源带有整点报时的数字钟设计与制作姓名:班级:蒋栋栋0802503学号:指导老师 :080250331井岩目录一、课程设计的性质、目的和任务3二、课程设计基本要求3三、设计课题要求3四、课程设计所需要仪器4五、设计步骤41、整体设计框图42、各个模块的设计与仿真42.1 分频模块42.2 计数器模块62.3 把握模块102.4 数码管支配132.5显示模块142.6报时模块16六、调试中遇到的问题及解决的方法18七、心得体会18一、课程设计的性质、目的和任务创
2、新精神和实践才能二者之中,实践才能是基础和根本;这是由于创新基于实践、源于实践,实践出真知,实践检验真理;实践活动是创新的源泉,也是人才成长的必由之路;通过课程设计的锤炼,要求同学把握电路的一般设计方法,具备初步的独立设欢迎下载精品学习资源计才能,提高综合运用所学的理论学问独立分析和解决问题的才能,培养同学的创新精神;二、课程设计基本要求把握现代大规模集成数字规律电路的应用设计方法,进一步把握电子仪器的正确使用方法,以及把握利用运算机进行电子设计自动化EDA 的基本方法;三、设计课题要求(1) )构造一个 24 小时制的数字钟;要求能显示时、分、秒;(2) )要求时、分、秒能各自独立的进行调整
3、;(3) )能利用喇叭作整点报时;从59 分 50 秒时开头报时,每隔一秒报时一秒, 到达 00 分 00 秒时,整点报时;整点报时声的频率应与其它的报时声频有明显区分;#设计提示(仅供参考):(1) 对频率输入的考虑数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号;报时频率可选用 1KHz 和 2KHz 左右 两种频率相差八度音,即频率相差一倍 ;另外, 为防止按键反跳、抖动,微动开关输入应接受寄存器输入形式,其时钟应为几十赫兹;(2) 计时部分计数器设计的考虑分、秒计数器均为模 60 计数器;小时计数为模 24 计数器,同理可建一个 24 进制计数器的模块;(3) 校时设计的考虑数
4、字钟校准有 3 个把握键:时校准、分校准和秒校准;微动开关不工作,计数器正常工作;按下微动开关后,计数器以8Hz 频率连续计数 如只按一下,就计数器增加一位 ,可调用元件库中的规律门建一个把握按键的模块,即建立开关去抖动电路 见书 70 页 ;(4) 报时设计的考虑可以将高频时钟分频得到约2KHz 和 1KHz 的音频,作为数字钟的报时频率;当电子钟显示 XX:59: 50 时,数字钟开头报时“ DO,连续一秒,而且每隔一秒报一下,直至显示XX:00: 00 时报“ DI ,连续一秒后停止;最终输出至喇叭;应调用元件库中的规律门建一个把握报时的模块;(5) 建一个七段译码的模块欢迎下载精品学习
5、资源因在系统可编程器件试验箱上的数码管没有经过译码,故要用 AHDL语言写一个七段译码的模块,且应考虑数码管为共阳极;数码管上的点 D2、D4、D6 应置 Vcc;四、课程设计所需要仪器1、运算机一台2、quartus 软件3、FPGA开发板 五、设计步骤1、模块介绍(1) ) 分频模块:产生 1Hz、1KHz、2KHz频率(2) ) 计数器模块:生成 60 进制、 24 进制计数器(3) ) 把握模块:按键把握、按键消抖(4) ) 显示模块: 7 段数码管显示器,分别显示小时、分钟、秒(5) ) 报时模块:进行整点报时2、各个模块的设计与仿真2.1 分频模块CLK 晶振频率 50MHZ ,分
6、成 2KHZ,1KHZ,1HZ的信号;基准1HZ 信号作为时钟计时的秒计数时钟信号;分频的1KHZ,2KHZ信号用于报时电路的不同声讯;程序代码:library ieee;use ieee.std_logic_1164.all;entity fre is portclk ,sel: in std_logic;clk1hz,clk1khz,clk2khz:out std_logic;end fre;architecture beh of fre issignal data1khz,data2khz,data1hz : std_logic := 0;beginclk1hz = data1hz;cl
7、k1khz = data1khz;clk2khz = data2khz;clk1khz_pro : processclk-产生 1khz信号variable cnt : integer range 0 to 24999;beginif clkevent and clk=1 then if cnt = 24999 thencnt := 0; data1khz = not data1khz;欢迎下载精品学习资源elsecnt := cnt + 1;end if;end if;end process clk1khz_pro;clk2khz_pro : processclk-产生 2khz信号vari
8、able cnt : integer range 0 to 12499;beginif clkevent and clk=1 then if cnt = 12499 thencnt := 0; data2khz = not data2khz;elsecnt := cnt + 1;end if;end if;end process clk2khz_pro;clk1hz_pro : processdata1khz-产生 1hz信号variable cnt : integer range 0 to 499;beginif data1khzevent and data1khz=1 thenif sel
9、=0 then cnt:=0;else if cnt = 499 thencnt := 0;data1hz = not data1hz;elsecnt := cnt + 1;end if;end if;end if;end process clk1hz_pro;end beh; 输入模块电路图:2.2 计数器模块由秒计数器,分计数器,时计数器组成了最基本的数字钟计时电路,两个六十进制计数器与二十四进制计数器组合构成;程序代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use IEEE.STD
10、_LOGIC_ARITH.ALL;entity shuzizhong is portclk_change : in std_logic;s_en,m_en,h_en:in std_logic;欢迎下载精品学习资源sel:in std_logic;secout,minout,hourout :out std_logic; sl,sh,ml,mh,hl,hh:out std_logic_vector3 downto 0;a:out std_logic_vector15downto 0 ;end shuzizhong;architecture behav of shuzizhong is signa
11、llow_rega,high_rega,low_regb,high_regb,low_regc,high_regc :std_log ic_vector3 downto 0:=0000;signal sout,mout,hout :std_logic :=0;begin-秒的 60 进制进制counter_sec_l : processclk_change,s_en beginsl=low_rega;sh=high_rega;ml=low_regb;mh=high_regb;hl=low_regc;hh=high_regc;if clk_changeevent and clk_change=1
12、欢迎下载精品学习资源thenif s_en=1 thenif low_rega=1001 thenlow_rega = 0000;elselow_rega = low_rega+1;end if;end if;end if;欢迎下载精品学习资源end process counter_sec_l;counter_sec_h : processclk_change,s_en,low_rega beginif clk_changeevent and clk_change=1欢迎下载精品学习资源then=0101then0000;if s_en=1 thenif low_rega=1001 then
13、if high_regahigh_rega = elsehigh_rega =欢迎下载精品学习资源欢迎下载精品学习资源high_rega+1;end if;end if;end if;欢迎下载精品学习资源end if;end process counter_sec_h;sout = 1 when low_rega=1001 and high_rega=0101else 0;-分钟的 60进制设置counter_min_l : processclk_change,m_en beginif clk_changeevent and clk_change=1欢迎下载精品学习资源thenif m_en=
14、1 thenif sout=1or sel=0 then if low_regb=1001 thenlow_regb = 0000;elselow_regb = low_regb+1;end if;end if;end if;end if;欢迎下载精品学习资源end process counter_min_l;counter_min_h : processclk_change,m_en,low_regb beginif clk_changeevent and clk_change=1欢迎下载精品学习资源then0000;if sout=1or sel=0 then if m_en=1 then
15、if low_regb=1001 thenif high_regb =0101then high_regb =elsehigh_regb =欢迎下载精品学习资源欢迎下载精品学习资源high_regb+1;end if;end if;end if;欢迎下载精品学习资源end if;end if;end process counter_min_h;mout = 1 when low_regb=1001 and high_regb=0101and sout=1 else 0;-小时的 24 进制设置counter_hour_l : processclk_change,h_en欢迎下载精品学习资源th
16、enbeginif clk_changeevent and clk_change=1if h_en=1 thenif mout=1or sel=0 thenif low_regc=1001or hout=1 thenlow_regc = 0000;elselow_regc = low_regc+1;end if;end if;end if;end if;欢迎下载精品学习资源end process counter_hour_l;counter_hour_h : processclk_change,h_en,hout beginif clk_changeevent and clk_change=1
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 2022 EDA 课程 方案设计 多功能 数字
![提示](https://www.taowenge.com/images/bang_tan.gif)
限制150内