2022年EDA课程方案设计书数字式竞赛抢答器.docx
《2022年EDA课程方案设计书数字式竞赛抢答器.docx》由会员分享,可在线阅读,更多相关《2022年EDA课程方案设计书数字式竞赛抢答器.docx(15页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、精品学习资源封面欢迎下载精品学习资源作者: PanHongliang仅供个人学习EDA技术欢迎下载精品学习资源课程设计报告二 0 一一年 06 月 30日欢迎下载精品学习资源目录1 课程设计目的 12 课程设计题目描述和要求 13 课程设计报告内容 24 总结145 参考资料141. 课程设计目的1、通过课程设计使同学能娴熟把握一种EDA软件( MAX+plus2)的使用方法,能娴熟进行设计输入、编译、管脚支配、下载等过程,为以后进行工程实际问题的争论打下设计基础;2、通过课程设计使同学能利用 EDA软件( MAX+plus2)进行至少一个电子技术综合问题的设计,设计输入可接受图形输入法或 V
2、HDL硬件描述语言输入法;3、通过课程设计使同学初步具有分析、查找和排除电子电路中常见故障的才能;4、通过课程设计使同学能独立写出严谨的、有理论依据的、实事求是的、文理通顺的字迹端正的课程设计报告;2. 课程设计题目描述和要求抢答器接通电源后,主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯;抢答开头时,主持人将把握开关拨到“开头”位 置,扬声器给出声响提示,抢答器处于工作状态,这时,抢答器完成以下工 作:(1) 优先编码器电路马上辨论出抢答者编号,并由锁存器进行锁存,然后由译码显示电路显示编号;(2) 扬声器发出短暂声响,提示主持人留意;(3) 把握电路要对输入编码电路进
3、行封锁,防止其他选手再次进行抢 答;( 4)当选手将问题回答完毕,主持人操作计分开关,计分电路接受十进制加 / 减计数器、数码管显示;本轮抢答完毕,主持人操作把握开欢迎下载精品学习资源关,使系统回复到禁止工作状态,以便进行下一轮抢答;设计框图欢迎下载精品学习资源抢答按钮优先编码电路锁存器译码显示电路电路欢迎下载精品学习资源欢迎下载精品学习资源主持人把握开关把握电路报警电路主电路欢迎下载精品学习资源预制计分电路译码电路显示电路扩展功能电路加分 减分图 1 抢答器总体框图由主体电路和扩展电路两部分构成,主体电路完成基本的抢答功能,即开头抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电
4、路, 禁止其他选手抢答;扩展电路完成各选手的得分显示功能;3、课程设计报告内容3.1 总体设计电路3.2 模块设计和相应模块程序(一)抢答鉴别模块1、VHDL 源程序library ieee ;- 抢答鉴别模块use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;entity qdjb isportrst,clk2:in std_logic;s0,s1,s2,s3:in std_logic;欢迎下载精品学习资源states:buffer std_logic_vector3 downto 0;tmp:out std_logic;
5、end qdjb ;architecture one of qdjb issignal st:std_logic_vector3 downto 0;begin p1:processs0,rst,s1,s2,s3,clk2 beginif rst=0 thentmp=0;st=0000;elsif clk2event and clk2=1 thenifs0=1orst0=1andnotst1=1orst2=1orst3=1 then st0=1;end if;ifs1=1orst1=1andnotst0=1orst2=1orst3=1 then st1=1;end if;ifs2=1orst2=
6、1andnotst0=1orst1=1orst3=1 then st2=1;end if;ifs3=1orst3=1andnotst0=1orst1=1orst2=1 then st3=1;欢迎下载精品学习资源end if ;tmp=s0 or s1 or s2 or s3;end if;end process p1;p2:processstates0,states1,states2,states3 beginif st=0000 then states=0000;elsif st=0001 then states=0001 ;elsif st=0010 then states=0010 ;e
7、lsif st=0100 then states=0011 ;elsif st=1000 then states=0100 ;end if ;end process p2 ;end one ;抢答鉴别模块图抢答鉴别模块用来精确直观地判定A、B、C、D、E、F 六组抢答者谁最先按下按钮, 并为显示端送出信号,通过数显和蜂鸣等途径使观众能够清楚地知道是哪一组抢答成功,是整个系统的核心部分;同时组别显示端为下一模块输入信号,以便利主持人为该组抢答成功者进行加减分的操作;(二)计时模块1、VHDL源程序library ieee;use ieee.std_logic_1164.all;欢迎下载精品学习资
8、源use ieee.std_logic_unsigned.all;entity js isportclk,rst,s,stop:in std_logic;warn:out std_logic;ta,tb:buffer std_logic_vector3 downto 0;end js ;architecture one of js is signal co:std_logic;beginp1:processclk,rst,s,stop,ta beginif rst=0 or stop=1 then ta=0000;elsif clkevent and clk=1 thenco=0;if s=1
9、 thenif ta=0000 thenta=1001;co=1 ;else ta=ta-1;end if;end if;end if;end process p1 ;p2:processco,rst,s,stop,tb beginif rst=0 or stop=1 then tb=0010;elsif coevent and co=1 thenif s=1 then欢迎下载精品学习资源if tb=0000 then tb=0011;else tb=tb-1;end if;end if;end if;end process p2 ;end one ;计时模块图在这个模块中主要实现抢答过程中的
10、计时功能,在有抢答开头后进行30 秒的倒计时,并且在 30 秒倒计时后无人抢答显示超时并报警;其中有抢答时钟信号clk2 ;系统复位信号 rst ;抢答使能信号 s;抢答状态显示信号 states ;无人抢答警报信号 warn;计时中止信号 stop ;计时十位和个位信号tb ,ta ;(三)数据选择模块1、VHDL源程序libraryieee;useieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity sjxz isport a,b,c: instd_logic_
11、vector3 downto 0;clk2,rst: instd_logic;s: out std_logic_vector1 downto 0;y: outstd_logic_vector3 downto 0 ;end sjxz ;architecture body_chooser of sjxz issignal count: std_logic_vector 1 downto 0;begin s=count;processclk2,rst beginifrst=0then count=10then欢迎下载精品学习资源count=00 ;else countyyynull;endcase;
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 2022 EDA 课程 方案设计 数字式 竞赛 抢答
限制150内