2022年实验报告的撰写格式及样本.docx
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_05.gif)
《2022年实验报告的撰写格式及样本.docx》由会员分享,可在线阅读,更多相关《2022年实验报告的撰写格式及样本.docx(11页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、精品学习资源library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity CNT16 isport CLK,RST,EN: in std_logic;CQ: OUT std_logic_vector3 downto 0; COUT:OUT std_logic;end CNT16;architecture behav of CNT16 is beginprocessCLK,RST,ENVARIABLE CQI:std_logic_vector3 downto 0; beginif RST=1 t
2、hen CQI:=others=0; elsif CLKevent and CLK=1 then if EN=1thenif CQI0; end if;end if;end if;if CQI=15 THEN COUT=1;欢迎下载精品学习资源else COUT=0;end if;CQ=CQI;end process;end architecture behav;试验报告的格式:实验名称一、试验目的二、试验内容三、试验条件1、开发软件Max+Plus II或者Quartus II2、试验设备 GW-48 系列 EDA试验开发系统3、所用芯片Altera公司 ACEX1K系列的 EP1K30TC
3、144-3芯片四、试验设计1、系统的原理框图2、原理图 /VHDL源程序3、波形及仿真文件4、管脚锁定文件管脚锁定情形,在rpt文件里可以看到五、试验结果及总结1、系统仿真情形2、硬件验证情形3、试验过程中显现的问题及解决的方法欢迎下载精品学习资源留意:统一用五号宋体,行间距为1.5 倍;试验报告样本:李某某学号:06005数字频率计设计试验一、试验目的1. 熟识 Max Plus 和 GW-48型 EDA开发系统的使用2. 把握具有肯定复杂程度的综合电路设计二、试验内容设计并调试好 4 位十进制数字频率计,并用EDA试验开发系统进行硬件验证;三、试验条件1、开发软件Max+Plus II或者
4、Quartus II2、试验设备 GW-48 系列 EDA试验开发系统3、所用芯片Altera公司 ACEX1K系列的 EP1K30TC144-3芯片四、试验设计1、系统的原理框图欢迎下载精品学习资源图 1系统的总原理框图2、VHDL源程序准时序仿真文件一: TEST_CTL.VHD测频掌握信号发生器:产生1S 脉宽的周期信号和锁存、清零信号LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY TEST_CTL IS PORTCLK: INSTD_LOGIC;-1hZ 的输入时钟TEST_
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 2022 实验 报告 撰写 格式 样本
![提示](https://www.taowenge.com/images/bang_tan.gif)
限制150内