2007-08硬件描述语言试题A(共11页).doc
《2007-08硬件描述语言试题A(共11页).doc》由会员分享,可在线阅读,更多相关《2007-08硬件描述语言试题A(共11页).doc(11页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、精选优质文档-倾情为你奉上中 北 大 学 硬件描述语言及器件 课程考试 试题2007/2008 学年 第 一 学期试题类别 A 拟题日期 2007.12.20 拟题教师 李圣昆 课程编号 教师编号 教学院长 系主任 课程结束时间 08.1.11 印刷份数 使用班级 /2/3 备注:(1)试题要求按指定规格计算机打印,并将其电子稿于课程结束前20天交评估与考试中心命题科。 (2)试题类别指A卷或B卷。 (3)试题印制手续由院教务科统一到评估与考试中心命题科办理。 2007/2008 学年第 一 学期末考试试题(A卷)硬件描述语言及器件使用班级:/2/3总分得分一、 填空题(20分,每空格1分)1
2、、VHDL是否区分大小写? 。2、digital_ _8标识符合法吗? 。12_bit标识符合法吗? 。signal标识符合法吗? 。 3、结构体有三种描述方式,分别是 、 、和 。4、请分别列举一个常用的库和程序包 、 。5、一个信号处于高阻(三态)时的值在VHDL中描述为 。6、将一个信号width定义为一个4位标准逻辑向量的语句为 。7、/=是 操作符,功能是 。8、设D0为0, D1为1, D2为1, D3为0, D3 & D2 & D1 & D0的运算结果是 ,(D3 or D2)and(D1 and not D0)的运算结果是: 。9、赋值语句是(并行/串行) 执行的,if语句是(
3、并行/串行) 执行的。10、请列举三种可编程逻辑器件: 、 、 。得分二、 简答(20分,每小题5分)1、简述VHDL程序的基本结构。2、简述信号与变量的区别。3、简述可编程逻辑器件的优点。4、试比较moore状态机与mealy状态机的异同。得分三、判断题(10分)library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;以上库和程序包语句有无错误? ,有的话请在原程序相应位置改正。entity rom is port(addr: in std_logic_vector(0 to 3);ce:in std
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 2007 08 硬件 描述 语言 试题 11
限制150内