北邮数电实验报告(共23页).docx
《北邮数电实验报告(共23页).docx》由会员分享,可在线阅读,更多相关《北邮数电实验报告(共23页).docx(23页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、精选优质文档-倾情为你奉上北京邮电大学实 验 报 告实验名称:数电实验 学 院:信息与通信工程学院 班 级: 姓 名: 学 号: 日 期:2015年5月 专心-专注-专业目录1、 实验一.4 (1)实验名称和任务要求.4 (2)原理图.4 (3)仿真波形及分析.52、 实验二 .5 (1)实验名称和任务要求.5 (2)VHDL代码.6 (3)模块连接图.8 (4)仿真波形及分析.83、 实验三.9 (1)实验名称和任务要求.9 (2)VHDL代码.10 (3)连接VHDL代码.11 (4)图形模块.12 (5)仿真波形及分析.12 (6)端口说明.134、 实验四.13 (1)实验名称和任务要
2、求.13 (2)VHDL代码.13 (3)图形模块.16 (4)仿真波形及分析.18 (5)端口说明.215、 故障及问题分析.216、总结.21一:实验一1、 实验名称和任务要求实验名称: Quartus2原理图输入法设计 实验目的: 1、熟悉用Quartus2原理图输入法进行电路设计和仿真2、掌握Quartus2图形模块单元的生成与调用 3 、熟悉实验板的使用实验内容: 1 、用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图 形模块单元。 2 、用实验内容1中生成的半加器模块和逻辑门设计实现一个全加器,仿真 验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二
3、极管显示输出信号。 3 、用3线-8线译码器(74LS138)和逻辑门设计实现函数 F=/C/B/A+/CB/A+C/B/A+CBA,仿真验证其功能,并下载到实验板测试。要 求用拨码开关设定输入信号,发光二极管显示输出信号。 2、原理图 (1)半加器 (2)全加器 (3)译码器3、仿真波形及分析 仿真波形: (1)全加器 (2)译码器 分析: (1)全加器:当全加器2个输入端都为0时,即a=b=0时,若低位进位输入cnp=0,则Si=0,进位输出端cn=0。若低位进位输入cnp=1,则Si=1,进位输出端cn=0. 当全加器2个输入端有一个为1时,即a =0,b=1或a=1,b=0时,若低位
4、进位 输入cnp=0,则Si=1,进位输出端cn=0。若低位进位输入cnp=1,则S=0,进位输出端cn=1.当全加器2个输入端都为1时,即a=b=1时,若低位进位输入cnp=0,则Si=0,进位输出端cn=1。若低位进位输入cnp=1,则Si=1,进位输出端cn=1. (2)译码器:当输入zhi=0时,译码器不工作,当输入为1时,译码器正常工作;当输入信号a2a1a0=000,010,100,111时输出f=1;其他情况f=0。二:实验二1、实验名称和任务要求实验名称: VHDL组合逻辑电路设计 实验目的: 1、熟悉用VHDL语言设计组合逻辑电路的方法2、 熟悉用Quartus2文本输入法进
5、行电路设计3、 熟悉不同的编码及其之间的转换 实验内容: 1 、用VHDL语言设计实现一个共阴极7段数码管译码器,仿真验证其功能, 并下载到实验板测试。要求用拨码开关设定输入信号,7段数码管显示输出信 号。 2 、用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证 其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显 示输出信号。 3 、用VHDL语言设计实现一个4位二进制奇校检验器,输入奇数个1 时,输出为1,否则输出为0,仿真验证其功能,并下载到实验板测 试,要求用拨码开关设定输入信号,发光二极管显示输出信号。2、VHDL代码 (1)数码管library
6、 ieee;use ieee.std_logic_1164.all;entity seg isport(a:in std_logic_vector (3 downto 0);b:out std_logic_vector (6 downto 0);end seg;architecture sega of seg isbeginprocess(a)begin case a iswhen 0000=bbbbbbbbbbb=;end case;end process;end;(2)8421码转换为余3码library ieee;use ieee.std_logic_1164.all;use ieee.
7、std_logic_unsigned.all;entity guo is port (a:in std_logic_vector (3 downto 0);b:out std_logic_vector (3 downto 0);end;architecture guoa of guo isbeginprocess(a)begin if a1010then b=a+0011; else b=0000; end if;end process;end;(3)奇校检验器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigne
8、d.all;entity jing isport(a:in std_logic_vector (3 downto 0);b:out std_logic);end jing;architecture jinga of jing isbeginprocess(a)begin if a =0001 then b=1;elsif a = 0010then b=1;elsif a =0100 then b=1;elsif a =1000then b=1;elsif a =1110 then b=1;elsif a =1101 then b=1;elsif a =1011then b=1;elsif a
9、=0111 then b=1;else b=0;end if;end process;end;3、模块连接图4、仿真波形及分析分析: 8421码转余3码:当输入a3a2a1a0小于或等于1001时,输出b3b2b1b0=a3a2a1a0+0011,其余情况输出为0000; 奇校检验器:当输入a3a2a1a0为0001,0010,0100,1000,0111,1011,1101,1110时,输出b=1,其余输出为0; 数码管:利用输出b7与offset、offset4、offset5、offset6、offset7控制只有一个数码管亮,当输入a3a2a1a0=0000时 输出b6b5b4b3b2
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 北邮数电 实验 报告 23
限制150内