交通灯VHDL程序设计.doc
《交通灯VHDL程序设计.doc》由会员分享,可在线阅读,更多相关《交通灯VHDL程序设计.doc(11页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、【精品文档】如有侵权,请联系网站删除,仅供学习与交流交通灯VHDL程序设计.精品文档.library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity div isport(clk3:IN std_logic; clkout1,clkout2:OUT std_logic); end div;architecture one of div isbeginprocess(clk3)variable cnt:integer range 0 to 25000000;variable tmp:std_logic
2、;begin if(clk3event and clk3=1)then if cnt=24999999 then-1s分频 cnt:=0; tmp:=not tmp;else cnt:=cnt+1;end if;end if;clkout1=25000 then cnt:=0; tmp:=not tmp;else cnt:=cnt+1;end if;end if;clkout2=tmp;end process;end one;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY KZ IS
3、 PORT(CLK,dtufa:IN STD_LOGIC;Gn,Yn,Rn,L1,L2, Gd,Yd,Rd :OUT STD_LOGIC);END;ARCHITECTURE ART OF KZ ISBEGIN PROCESS(CLK,dtufa) IS VARIABLE S,y:INTEGER RANGE 0 TO 124;BEGINif dtufa=1then Gn=1; -南北向绿灯亮 Yn=1; Rn=0; L1=1; Gd=1; Yd=1; Rd=0; -东西向红灯亮 L298 THEN S:=0; else S:=S+1; end if; IF 0=s and S33 THEN -4
4、0s内 Gn=0; -南北向绿灯亮 Yn=1; Rn=1; L1=1; Gd=1; Yd=1; Rd=0; -东西向红灯亮 L2=1;ELSIF s=33 THEN -南北向绿灯闪烁的第1秒 Gn=1; Yn=1; Rn=1; L1=1; Gd=1; Yd=1; Rd=0; L2=1;ELSIF s=34 THEN -南北向绿灯闪烁的第2秒 Gn=0; Yn=1; Rn=1; L1=1; Gd=1; Yd=1; Rd=0; L2=1; ELSIF s=35 THEN -南北向绿灯闪烁的第3秒 Gn=1; Yn=1; Rn=1; L1=1; Gd=1; Yd=1; Rd=0; L2=1;ELSI
5、F s=36 THEN -南北向绿灯闪烁的第4秒 Gn=0; Yn=1; Rn=1; L1=1; Gd=1; Yd=1; Rd=0; L2=1; ELSIF s=37 THEN -南北向绿灯闪烁的第5秒 Gn=1; Yn=1; Rn=1; L1=1; Gd=1; Yd=1; Rd=0; L2=1;ELSIF s=38 THEN -南北向绿灯闪烁的第6秒 Gn=0; Yn=1; Rn=1; L1=1; Gd=1; Yd=1; Rd=0; L2=1;ELSIF s=39 THEN -南北向黄灯闪烁1秒 Gn=1; Yn=0; Rn=1; L1=1; Gd=1; Yd=1; Rd=0; L2=1;E
6、LSIF 39s and s46 THEN-北向左转灯亮 Gn=1; Yn=1; Rn=0; L1=0; Gd=1; Yd=1; Rd=0; L2=1;ELSIF s=46 THEN-北向左转灯闪烁的第1秒 Gn=1; Yn=1; Rn=0; L1=1; Gd=1; Yd=1; Rd=0; L2=1;ELSIF s=47 THEN-北向左转灯闪烁的第2秒 Gn=1; Yn=1; Rn=0; L1=0; Gd=1; Yd=1; Rd=0; L2=1;ELSIF s=48 THEN-北向左转灯闪烁的第3秒 Gn=1; Yn=1; Rn=0; L1=1; Gd=1; Yd=1; Rd=0; L2=1
7、;ELSIF s=49 THEN-北向左转灯闪烁的第4秒 Gn=1; Yn=1; Rn=0; L1=0; Gd=1; Yd=1; Rd=0; L2=1;elsIF 49S AND S83 THEN -33s内 Gn=1; -南北向红灯亮 Yn=1; Rn=0; L1=1; Gd=0; Yd=1; Rd=1; -东西向绿灯亮 L2=1;ELSIF s=83 THEN -东西向绿灯闪烁的第1秒 Gn=1; Yn=1; Rn=0; L1=1; Gd=1; Yd=1; Rd=1; L2=1;ELSIF s=84 THEN -东西向绿灯闪烁的第2秒 Gn=1; Yn=1; Rn=0; L1=1; Gd=
8、0; Yd=1; Rd=1; L2=1; ELSIF s=85 THEN -东西向绿灯闪烁的第3秒 Gn=1; Yn=1; Rn=0; L1=1; Gd=1; Yd=1; Rd=1; L2=1;ELSIF s=86 THEN -东西向绿灯闪烁的第4秒 Gn=1; Yn=1; Rn=0; L1=1; Gd=0; Yd=1; Rd=1; L2=1; ELSIF s=87 THEN -东西向绿灯闪烁的第5秒 Gn=1; Yn=1; Rn=0; L1=1; Gd=1; Yd=1; Rd=1; L2=1;ELSIF s=88 THEN -东西向绿灯闪烁的第6秒 Gn=1; Yn=1; Rn=0; L1=
9、1; Gd=0; Yd=1; Rd=1; L2=1;ELSIF s=89 THEN -东西向黄灯闪烁1秒 Gn=1; Yn=1; Rn=0; L1=1; Gd=1; Yd=0; Rd=1; L2=1;ELSIF 89s and s96 THEN-西向左转灯亮 Gn=1; Yn=1; Rn=0; L1=1; Gd=1; Yd=1; Rd=0; L2=0;ELSIF s=96 THEN-西向左转灯闪烁的第1秒 Gn=1; Yn=1; Rn=0; L1=1; Gd=1; Yd=1; Rd=0; L2=1;ELSIF s=97 THEN-西向左转灯闪烁的第2秒 Gn=1; Yn=1; Rn=0; L1
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 交通灯 VHDL 程序设计
限制150内