2022年超大规模集成电路第七次作业秋,段成华 .pdf
《2022年超大规模集成电路第七次作业秋,段成华 .pdf》由会员分享,可在线阅读,更多相关《2022年超大规模集成电路第七次作业秋,段成华 .pdf(7页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、Assignment 7 1. Analyze the sequential element (SE) of Actel ACT FPGA (as shown below) with any possible combinations of C1, C2 and CLR C controls. A. Which functions does this SE support? B. Verify these functions by using HSPICE simulator at circuit level OR using Modelsimsimulator at logic level.
2、 G1G2G4G3G6G8DCLRC2C11010QF1F2MSS2S1MCMaster LatchSlave LatchG5G7Tcombinationallogic for clockand clearFigure 1 Actel ACT 2 and ACT 3 Logic Modules: The equivalent circuit (without buffering) of the SE (sequential element)Solution: A:(1)、 C1=0,C2=0,CLR=1 ,S1=0,D 输出到 M,同时将 M 传递到 F1,G5 处于采样阶段,而S2=1,所以
3、 G7 处于保持状态;若CLR=0 ,G6 和 G8 输出为 0,整个电路不工作。(2)、 C1=1,C2=0,CLR=1 ,则 S1=0, G5 处于采样状态将信号传递到M,MC=1 ,M 传输到 F1,同时 S2=0,则 F1 传递到 S,同时也传递到Q,即直通状态,CLR=0 也是如此状态,因为T=1。(3)、 C1=0,C2=1,CLR=1 ,由于 MC=1 ,所以输出到F1,且 S1=1,G5 处于保持,而 S2=0, 所以 F1 传输到 S, 同时可以传递到Q, 这个属于边沿触发器的传递阶段。若 CLR=0,MC=0 ,所以都清0。(4)、 C1=1,C2=1,CLR=1,则 S1
4、=0,D 输出到 M,MC=1 ,所以 M 采样到 F1,而G7 则处于保持状态,CLR=0 ,若 CLR=0 ,G6 和 G8 输出为 0,整个电路不工作。观察以上四种情况,(1)与( 4)状态相同,(2)属于直通状态具有一定延时,(3)处于 G5 保持, G7 输出到 Q。基于这样的情况,将(1)与( 3)组合为一个上升沿D 触发器,( 4)与( 3)组合成为一个下降沿D 触发器;同时将(2)与( 3)可以构成一个锁存器,在( 2)的时候电平触发Q=D,( 3)的时候保持Q 的状态;以上几种状态在CLR=0时候都可以清零。B:A 中已经基本的分析清楚了各种情况,这里只验证A 中( 1)与(
5、 3)组合为一个上升沿 D 触发器和直通状态(2):、 (1)与( 3),则 C1 保持为 0,C2 为 CLK 时钟变化, VHDL 代码如下:library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.std_logic_unsigned.ALL; library UNISIM; 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 1 页,共 7 页 - - - - - - - -
6、 - use UNISIM.VComponents.all; entity ACT_FPGA is Port ( D : in std_logic; C2 : in std_logic; C1 : in std_logic; CLR : in std_logic; Q : out std_logic); end ACT_FPGA; architecture struct of ACT_FPGA is signal S1: std_logic; signal S2: std_logic; signal M: std_logic; signal F1: std_logic; signal MC:
7、std_logic; signal T: std_logic; signal S: std_logic; signal F2: std_logic; begin U0: and2b1 port map (S1,C1,C2); -S1=C2 and (not C1); U1: muxcy port map (M,F1,D,S1); U2: and2b1 port map (T,C2,C1); -T=(not C2) and C1; U3: or2 port map (MC,T,CLR); U4: xnor2 port map (S2,C2,C1); U5: and2 port map (F1,M
8、C,M); U6: muxcy port map (S,F2,F1,S2); U7: and2 port map (F2,MC,S); Q D, C2 = C2, C1 = C1, CLR = CLR, Q = Q); - Stimulus process stim_proc: process begin -insert stimulus here - Current Time: 100ns WAIT FOR 100 ns; D = 1; C1 = 0; C2 = 0; CLR = 1; - - Current Time: 200ns WAIT FOR 100 ns; D = 1; C1 =
9、0; C2 = 1; CLR = 1; - - Current Time: 300ns WAIT FOR 100 ns; D = 1; C1 = 0; C2 = 0; 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 3 页,共 7 页 - - - - - - - - - CLR = 1; - - Current Time: 400ns WAIT FOR 100 ns; D = 1; C1 = 0; C2 = 1; CLR = 1; - - Current Time: 500ns WA
10、IT FOR 100 ns; D = 1; C1 = 0; C2 = 0; CLR = 1; - - Current Time: 600ns WAIT FOR 100 ns; D = 1; C1 = 0; C2 = 1; CLR = 1; - - Current Time: 700ns WAIT FOR 100 ns; D = 1; C1 = 0; C2 = 0; CLR = 1; - - Current Time: 900ns WAIT FOR 100 ns; D = 1; C1 = 0; C2 = 1; CLR = 1; end process; END; 可以看到 C2的低电平期间保持,
11、并将F1看到为高,并在C2的上升沿,得到了Q输出为高电平,同时 D变为0时,F1变为 0,同时在 C2的上升沿变为 0。、 (2)中是直通状态验证如下:只需要改变 TestBench的输入信号即可,得到验证波形如下:可以看到输入D和输出 Q完全一致,表明逻辑电路连接没问题,同时A中的分析也没有问题。验证完毕。同理可以知道(4)与( 3),则 C2保持为 1,C1为CLK 时钟变化,而(2)与( 3)则 C1和C2电平始终相反,但是需要先 10 再 01 即可。附件如下: RTL Schematic 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - -
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 2022年超大规模集成电路第七次作业秋 段成华 2022 超大规模集成电路 第七 作业 段成华
限制150内