等精度频率计相位设计.doc
《等精度频率计相位设计.doc》由会员分享,可在线阅读,更多相关《等精度频率计相位设计.doc(30页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、Four short words sum up what has lifted most successful individuals above the crowd: a little bit more.-author-date等精度频率计相位设计等精度频率计/相位计设计等精度频率计/相位计设计小组成员:江陪:0803741152操彬彬:0803741155一 实验目的:基于传统测频原理的频率计的测量精度将随被测信号频率的下降而降低,即测量精度随被测信号频率的变化而变化,在实用中有很大的局限性,故本次实验就是为了设计一个等精度频率计,它不仅具有较高的测量精度,且在整个频率区能保持恒定的测量精
2、度。二 设计项目指标:1. 频率测试功能:测频范围0.1HZ100MHZ.测频精度:测频全域相对误差恒为百分之一。2. 脉宽测试功能:测试范围0.1us1s,测试精度0.01us。3. 占空比测试功能:测试(显示)精度1%99%。4. 相位测试功能:测试范围0360,测试精度0.2。三 实验原理:1. 主系统设计:1) 函数发生器输出TTL波形,通过tclk进入fpga;2) 测频测相部分由fpga内部完成;3) 单片机电路模块:用stm32单片机的按键控制GPIOE口,控制FPGA测频/测相的切换,GPIOF口读取FPGA的数据。4) 键盘模块:用stm32键盘的0、1、2、3、4控制fpg
3、a的clr,cl,spul的输入,从而起到切换测频/测相的作用。5) 显示模块:用stm32上的液晶显示从fpga读取的数据值。2. 主系统测频测相原理:1) 测频:首先,进行初始化:单片机发出一个清零信号clr,使两个计数器和D触发器置0, 同时D触发器通过信号ena,禁止两个计数器计数。其次,从tclk端接入ttl波形,函数单片机发出允许测频指令,即cl置高,spul置高。读取数据用了一个64-16多路选择器,设置了一个sel端,并用单片机的GPIOE口的3、4端来作控制。2) 测相:控制单片机按键,从而改变GPIOE口的0、1、2口的电平值,对fpga进行控制。使spul置0,切换cl,
4、从而测量tclk的高低电平脉宽。四 VHDL程序实现:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity etester isgeneric (n:integer:=500);port(bclk:in std_logic;-tclk:in std_logic;clr:in std_logic;cl:in std_logic;spul: in std_logic;start: out std_logic;eend: out std_logic;sel:in std_logic_vector
5、(1 downto 0);data: out std_logic_vector(15 downto 0);end etester;architecture one of etester is -signal count0:integer range (n-1) downto 0 ; signal count1:integer range (n-1) downto 0 ;signal bzq:std_logic_vector(31 downto 0);signal tsq:std_logic_vector(31 downto 0);signal ena,tclk:std_logic;signal
6、 ma,clk1,clk2,clk3:std_logic;signal q1,q2,q3,bena,pul:std_logic;signal ss:std_logic_vector(1 downto 0);beginprocess(bclk)beginif bclkevent and bclk=1 thenif(count1=n) then count1=0;else count1=count1+1;end if;if (count1(integer(n/2) )then tclk=1;else tclk=0;end if;end if;end process;start=ena;data=
7、bzq(15 downto 0)when sel=00elsebzq(31 downto 16)when sel=01elsetsq(15 downto 0)when sel=10elsetsq(31 downto 16)when sel=11else0000010010010000;bzh:process(bclk,clr)beginif clr=1then bzq0);elsif bclkevent and bclk=1thenif bena=1then bzq=bzq+1;end if;end if;end process;tf:process(tclk,clr,ena)beginif
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 精度 频率计 相位 设计
限制150内