EDA-数字秒表设计.doc
《EDA-数字秒表设计.doc》由会员分享,可在线阅读,更多相关《EDA-数字秒表设计.doc(20页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、1 计 计开 开码 码, ,即 即载 载上 上接 接“ 制 制的 的0 制 制控 控段 段 .入 入入 入的 的 入 入输 输块 块 率 率的 的0 0 00 定 定编 编定 定编 编配 配确 确的 的明 明证 证择 择位 位, ,扫 扫的 的管 管后 后信 信结 结真 真亮 亮段 段数 数块 块模 模_(号 号到 到将 将 择 择) (模 模时 时块 块 择 择模 模 (接 接的 的)系 系接 接间 间位 位和 和暂 暂别 别。 。位 位来 来信 信提 提模 模_ 码 码给 给一 一另 另选 选供 供模 模 方 方一 一的 的 模 模 给 给分 分经 经的 的灭 灭亮 亮码 码控 控模 模
2、(过 过, ,出 出块 块, ,) 择 择位 位的 的时 时, ,给 给输 输经 经情 情作 作工 工理 理原 原组 组体 体秒 秒确 确是 是模 模, ,段 段管 管码 码的 的输 输 , ,的 的 结 结真 真 000 =00=00 000_)0_) (:(. _程 程程 程 出 出的 的亮 亮制 制是 是 入 入输 输块 块选 选 定 定控 控号 号输 输模 模 通 通能 能设 设模 模 块 块确 确正 正块 块可 可, ,会 会在 在 时 时 , ,冲 冲脉 脉 0位 位进 进位 位结 结结 结=0000=);0 )( ,_0)0:):_程 程 出 出位 位号 号秒 秒:出 出位 位号
3、 号信 信出 出出 出位 位停 停停 停位 位复 复入 入钟 钟号 号为 为 定 定端 端计 计的 的对 对 块 块设 设模 模时 时 模 模确 确确 确明 明以 以进 进为 为, ,有 有 高 高0制 制进 进结 结仿 仿+00)=0)=;=0000)=, 0 0= = )0:) (:_. .程 程程 程 出 出输 输的 的毫 毫出 出输 输的 的毫 毫: 出 出号 号进 进停 停暂 暂位 位复 复入 入钟 钟信 信 义 义计 计位 位对 对 模 模设 设模 模时 时:块 块确 确的 的明 明以 以, ,会 会到 到制 制 是 是和 和高 高0为 为结 结仿 仿+=0)0=00)0 ( 0
4、0=0=0=_ =_=0( ( ;)0 ( _: ), _ :_程 程 端 端端 端为 为.值 值数 数位 位的 的位 位位 位的 的低 低, ,的 的毫 毫的 的秒 秒分 分.,. 0. . . 号 号制 制为 为,:口 口输 输选 选指 指号 号按 按功 功模 模设 设模 模:名 名的 的的 的块 块证 证 的 的 升 升上 上结 结真 真= 000 =00=00 000_)0_) (:(. _程 程程 程 出 出的 的亮 亮制 制是 是 入 入输 输块 块选 选 定 定控 控号 号输 输模 模 通 通能 能设 设模 模 块 块确 确正 正块 块可 可, ,会 会在 在 时 时 , ,冲
5、冲脉 脉 0位 位进 进位 位结 结结 结=0000=);0 )( ,_0)0:):_程 程 出 出位 位号 号秒 秒:出 出位 位号 号信 信出 出出 出位 位停 停停 停位 位复 复入 入钟 钟号 号为 为 定 定端 端计 计的 的对 对 块 块设 设模 模时 时 模 模确 确确 确明 明以 以进 进为 为, ,有 有 高 高0制 制进 进结 结仿 仿+00)=0)=;=0000)=, 0 0= = )0:) (:_. .程 程程 程 出 出输 输的 的毫 毫出 出输 输的 的毫 毫: 出 出号 号进 进停 停暂 暂位 位复 复入 入钟 钟信 信 义 义计 计位 位对 对 模 模设 设模
6、模时 时:块 块确 确的 的明 明以 以, ,会 会到 到制 制 是 是和 和高 高0为 为结 结仿 仿+=0)0=00)0 ( 0 0=0=0=_ =_=0( ( ;)0 ( _: ), _ :_程 程 端 端端 端为 为.值 值数 数位 位的 的位 位位 位的 的低 低, ,的 的毫 毫的 的秒 秒分 分.,. 0. . . 号 号制 制为 为,:口 口输 输选 选指 指号 号按 按功 功模 模设 设模 模:名 名的 的的 的块 块证 证 的 的 升 升上 上结 结真 真= 000 =00=00 000_)0_) (:(. _程 程程 程 出 出的 的亮 亮制 制是 是 入 入输 输块 块
7、选 选 定 定控 控号 号输 输模 模 通 通能 能设 设模 模 块 块确 确正 正块 块可 可, ,会 会在 在 时 时 , ,冲 冲脉 脉 0位 位进 进位 位结 结结 结=0000=);0 )( ,_0)0:):_程 程 出 出位 位号 号秒 秒:出 出位 位号 号信 信出 出出 出位 位停 停停 停位 位复 复入 入钟 钟号 号为 为 定 定端 端计 计的 的对 对 块 块设 设模 模时 时 模 模确 确确 确明 明以 以进 进为 为, ,有 有 高 高0制 制进 进结 结仿 仿+00)=0)=;=0000)=, 0 0= = )0:) (:_. .程 程程 程 出 出输 输的 的毫
8、毫出 出输 输的 的毫 毫: 出 出号 号进 进停 停暂 暂位 位复 复入 入钟 钟信 信 义 义计 计位 位对 对 模 模设 设模 模时 时:块 块确 确的 的明 明以 以, ,会 会到 到制 制 是 是和 和高 高0为 为结 结仿 仿+=0)0=00)0 ( 0 0=0=0=_ =_=0( ( ;)0 ( _: ), _ :_程 程 端 端端 端为 为.值 值数 数位 位的 的位 位位 位的 的低 低, ,的 的毫 毫的 的秒 秒分 分.,. 0. . . 号 号制 制为 为,:口 口输 输选 选指 指号 号按 按功 功模 模设 设模 模:名 名的 的的 的块 块证 证 的 的 升 升上
9、上结 结真 真= 000 =00=00 000_)0_) (:(. _程 程程 程 出 出的 的亮 亮制 制是 是 入 入输 输块 块选 选 定 定控 控号 号输 输模 模 通 通能 能设 设模 模 块 块确 确正 正块 块可 可, ,会 会在 在 时 时 , ,冲 冲脉 脉 0位 位进 进位 位结 结结 结=0000=);0 )( ,_0)0:):_程 程 出 出位 位号 号秒 秒:出 出位 位号 号信 信出 出出 出位 位停 停停 停位 位复 复入 入钟 钟号 号为 为 定 定端 端计 计的 的对 对 块 块设 设模 模时 时 模 模确 确确 确明 明以 以进 进为 为, ,有 有 高 高
10、0制 制进 进结 结仿 仿+00)=0)=;=0000)=, 0 0= = )0:) (:_. .程 程程 程 出 出输 输的 的毫 毫出 出输 输的 的毫 毫: 出 出号 号进 进停 停暂 暂位 位复 复入 入钟 钟信 信 义 义计 计位 位对 对 模 模设 设模 模时 时:块 块确 确的 的明 明以 以, ,会 会到 到制 制 是 是和 和高 高0为 为结 结仿 仿+=0)0=00)0 ( 0 0=0=0=_ =_=0( ( ;)0 ( _: ), _ :_程 程 端 端端 端为 为.值 值数 数位 位的 的位 位位 位的 的低 低, ,的 的毫 毫的 的秒 秒分 分.,. 0. . .
11、号 号制 制为 为,:口 口输 输选 选指 指号 号按 按功 功模 模设 设模 模:名 名的 的的 的块 块证 证 的 的 升 升上 上结 结真 真=0)0 (=) (:)0(_程 程 输 输信 信到 到.入 入输 输为 为 义 义端 端信 信的 的描 描的 的 , ,脉 脉时 时 :能 能设 设设 设 名 名设 设模 模元 元控 控号 号输 输模 模 : :模 模显 显计 计分 分秒 秒对 对: :模 模亮 亮位 位数 数制 制号 号的 的 通 通码 码 输 输选 选指 指信 信: :择 择模 模) 给 给信 信扫 扫块 块功 功模 模显 显管 管制 制编 编示 示的 的数 数控 控的 的
12、入 入 毫 毫秒 秒数 数的 的模 模秒 秒毫 毫分 分据 据输 输模 模信 信选 选自 自选 选亮 亮化 化的 的位 位作 作 器 器-号 号入 入块 块亮 亮位 位控 控码 码构 构体 体计 计计 计 分 分为 为时 时最 最秒 秒 是 是范 范表 表数 数。 。计 计止 止停 停下 下按 按计 计器 器计 计启 启停 停启 启, ,关 关有 有 备 备的 的时 时做 做零 零就 就, ,位 位下 下只 只程 程计 计在 在使 使况 况在 在以 以复 复(0是 是计 计表 表求 求计 计设 设的 的字 字二 二十 十一 一化 化学 学程 程科 科秒 秒(2 2)端口定义)端口定义: :CL
13、KCLK 为信号输入端为信号输入端SELOUT2.0SELOUT2.0为选择到的信号输出为选择到的信号输出(3 3)VHDLVHDL 源程序源程序librarylibrary ieee;ieee;useuse ieee.std_logic_1164.all;ieee.std_logic_1164.all;useuse ieee.std_logic_unsigned.all;ieee.std_logic_unsigned.all;entityentity selsel isisport(clk:port(clk: inin std_logic;std_logic;selout:selout: o
14、utout std_logic_vector(2std_logic_vector(2 downtodownto 0);0);endend sel;sel;architecturearchitecture oneone ofof selsel isissignalsignal count:count: std_logic_vector(2std_logic_vector(2 downtodownto 0);0);beginbeginprocess(clk)process(clk)beginbeginifif clkeventclkevent andand clk=1clk=1 thentheni
15、fif (count=101)(count=101) thenthencount=000;count=000;elseelsecount=count+1;count=count+1;endend if;if;endend if;if;endend process;process;selout=count;selout 000 =00=00 000_)0_) (:(. _程 程程 程 出 出的 的亮 亮制 制是 是 入 入输 输块 块选 选 定 定控 控号 号输 输模 模 通 通能 能设 设模 模 块 块确 确正 正块 块可 可, ,会 会在 在 时 时 , ,冲 冲脉 脉 0位 位进 进位 位
16、结 结结 结=0000=);0 )( ,_0)0:):_程 程 出 出位 位号 号秒 秒:出 出位 位号 号信 信出 出出 出位 位停 停停 停位 位复 复入 入钟 钟号 号为 为 定 定端 端计 计的 的对 对 块 块设 设模 模时 时 模 模确 确确 确明 明以 以进 进为 为, ,有 有 高 高0制 制进 进结 结仿 仿+00)=0)=;=0000)=, 0 0= = )0:) (:_. .程 程程 程 出 出输 输的 的毫 毫出 出输 输的 的毫 毫: 出 出号 号进 进停 停暂 暂位 位复 复入 入钟 钟信 信 义 义计 计位 位对 对 模 模设 设模 模时 时:块 块确 确的 的明
17、 明以 以, ,会 会到 到制 制 是 是和 和高 高0为 为结 结仿 仿+=0)0=00)0 ( 0 0=0=0=_ =_=0( ( ;)0 ( _: ), _ :_程 程 端 端端 端为 为.值 值数 数位 位的 的位 位位 位的 的低 低, ,的 的毫 毫的 的秒 秒分 分.,. 0. . . 号 号制 制为 为,:口 口输 输选 选指 指号 号按 按功 功模 模设 设模 模:名 名的 的的 的块 块证 证 的 的 升 升上 上结 结真 真= 000 =00=00 000_)0_) (:(. _程 程程 程 出 出的 的亮 亮制 制是 是 入 入输 输块 块选 选 定 定控 控号 号输
18、输模 模 通 通能 能设 设模 模 块 块确 确正 正块 块可 可, ,会 会在 在 时 时 , ,冲 冲脉 脉 0位 位进 进位 位结 结结 结=0000=);0 )( ,_0)0:):_程 程 出 出位 位号 号秒 秒:出 出位 位号 号信 信出 出出 出位 位停 停停 停位 位复 复入 入钟 钟号 号为 为 定 定端 端计 计的 的对 对 块 块设 设模 模时 时 模 模确 确确 确明 明以 以进 进为 为, ,有 有 高 高0制 制进 进结 结仿 仿+00)=0)=;=0000)=, 0 0= = )0:) (:_. .程 程程 程 出 出输 输的 的毫 毫出 出输 输的 的毫 毫:
19、出 出号 号进 进停 停暂 暂位 位复 复入 入钟 钟信 信 义 义计 计位 位对 对 模 模设 设模 模时 时:块 块确 确的 的明 明以 以, ,会 会到 到制 制 是 是和 和高 高0为 为结 结仿 仿+=0)0=00)0 ( 0 0=0=0=_ =_=0( ( ;)0 ( _: ), _ :_程 程 端 端端 端为 为.值 值数 数位 位的 的位 位位 位的 的低 低, ,的 的毫 毫的 的秒 秒分 分.,. 0. . . 号 号制 制为 为,:口 口输 输选 选指 指号 号按 按功 功模 模设 设模 模:名 名的 的的 的块 块证 证 的 的 升 升上 上结 结真 真=0)0 (=)
20、 (:)0(_程 程 输 输信 信到 到.入 入输 输为 为 义 义端 端信 信的 的描 描的 的 , ,脉 脉时 时 :能 能设 设设 设 名 名设 设模 模元 元控 控号 号输 输模 模 : :模 模显 显计 计分 分秒 秒对 对: :模 模亮 亮位 位数 数制 制号 号的 的 通 通码 码 输 输选 选指 指信 信: :择 择模 模) 给 给信 信扫 扫块 块功 功模 模显 显管 管制 制编 编示 示的 的数 数控 控的 的 入 入 毫 毫秒 秒数 数的 的模 模秒 秒毫 毫分 分据 据输 输模 模信 信选 选自 自选 选亮 亮化 化的 的位 位作 作 器 器-号 号入 入块 块亮 亮位
21、 位控 控码 码构 构体 体计 计计 计 分 分为 为时 时最 最秒 秒 是 是范 范表 表数 数。 。计 计止 止停 停下 下按 按计 计器 器计 计启 启停 停启 启, ,关 关有 有 备 备的 的时 时做 做零 零就 就, ,位 位下 下只 只程 程计 计在 在使 使况 况在 在以 以复 复(0是 是计 计表 表求 求计 计设 设的 的字 字二 二十 十一 一化 化学 学程 程科 科秒 秒(3 3)VHDLVHDL 源程序源程序librarylibrary ieee;ieee;useuse ieee.std_logic_1164.all;ieee.std_logic_1164.all;u
22、seuse ieee.std_logic_unsigned.all;ieee.std_logic_unsigned.all;entityentity choicechoice isisportport(a,b,c:in(a,b,c:in std_logic;std_logic;data1,data2,data3,data4,data5,data6:indata1,data2,data3,data4,data5,data6:instd_logic_vector(3std_logic_vector(3downtodownto 0);0);ch_out:outch_out:out std_logic
23、_vector(std_logic_vector( 3 3 downtodownto 0)0););endend choice;choice;architecturearchitecture behavebehave ofof choicechoice isissignalsignal ch:std_logic_vector(2ch:std_logic_vector(2 downtodownto 0);0);beginbeginch(2)=c;ch(2)=c;ch(1)=b;ch(1)=b;ch(0)=a;ch(0)ch_outch_outch_outch_outch_outch_outch_
24、outch_out 000 =00=00 000_)0_) (:(. _程 程程 程 出 出的 的亮 亮制 制是 是 入 入输 输块 块选 选 定 定控 控号 号输 输模 模 通 通能 能设 设模 模 块 块确 确正 正块 块可 可, ,会 会在 在 时 时 , ,冲 冲脉 脉 0位 位进 进位 位结 结结 结=0000=);0 )( ,_0)0:):_程 程 出 出位 位号 号秒 秒:出 出位 位号 号信 信出 出出 出位 位停 停停 停位 位复 复入 入钟 钟号 号为 为 定 定端 端计 计的 的对 对 块 块设 设模 模时 时 模 模确 确确 确明 明以 以进 进为 为, ,有 有 高
25、高0制 制进 进结 结仿 仿+00)=0)=;=0000)=, 0 0= = )0:) (:_. .程 程程 程 出 出输 输的 的毫 毫出 出输 输的 的毫 毫: 出 出号 号进 进停 停暂 暂位 位复 复入 入钟 钟信 信 义 义计 计位 位对 对 模 模设 设模 模时 时:块 块确 确的 的明 明以 以, ,会 会到 到制 制 是 是和 和高 高0为 为结 结仿 仿+=0)0=00)0 ( 0 0=0=0=_ =_=0( ( ;)0 ( _: ), _ :_程 程 端 端端 端为 为.值 值数 数位 位的 的位 位位 位的 的低 低, ,的 的毫 毫的 的秒 秒分 分.,. 0. . .
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- EDA 数字 秒表 设计
限制150内