2022年西电EDA大作业多功能彩灯 .pdf
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_05.gif)
《2022年西电EDA大作业多功能彩灯 .pdf》由会员分享,可在线阅读,更多相关《2022年西电EDA大作业多功能彩灯 .pdf(14页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、EDA设计报告彩灯控制器(老师:宗汝)班级:学号:姓名:名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 1 页,共 14 页 - - - - - - - - - 一设计要求设计能让一排灯( 8 只)自动改变显示花样的控制系统。可将实验板上的一排发光二极管作为彩灯用。控制器应有两种控制方式:规则变化。变化节拍有 0.5 秒和 0.25秒两种 ,交替出现 ,每种节拍可有 8 种花样 ,各执行一或二个周期后轮换。彩灯变化方向有单向移动,双向移动 ,跳跃移动等。随机变化。变化花样相同,但节拍
2、及花样的转换都随机出现。二.设计方案(1).分频模块。要产生快慢两种节拍, 则首先需要有分频器模块, 0.5 秒和 0.25秒两种则可选择四分频和八分频。通过按键进行选择切换。则 clk为输入时钟信号,需经分频器分频并输入到LED显示电路; clr 为复位清零信号,高电平有效,有效时,电路无条件的回到初始状态;OPT为频率快慢选择信号,低电平节奏快,高电平节奏慢;(2)LED 显示模块。 经过分频的时钟信号输入LED 显示电路中, 使电路有规律的输出按照设定的各种花样变化。xuan 为选择彩灯变化花样信号,便于改变彩灯花样。而最后就是输出彩灯变化花样led 。三.系统程序设计分频器模块:lib
3、rary ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FENPINQI is port( clk:in std_logic; clr:in std_logic; opt:in std_logic; clkout:out std_logic ); end FENPINQI; architecture arc of FENPINQI is signal clk_tmp: std_logic; signal counter: std_logic_vector(1 downto 0); begin
4、 process(clk,clr,opt) begin if clr=1 then -清零 clk_tmp=0; counter=00; elsif clkevent and clk=1 then 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 2 页,共 14 页 - - - - - - - - - if opt=0 then -四分频 if counter=01 then counter=00; clk_tmp=not clk_tmp; else counter=counter+
5、1; end if; else -八分频 if counter=11 then counter=00; clk_tmp=not clk_tmp; else counter=counter+1; end if; end if; end if; end process; clkout=clk_tmp; -输出分频后的信号end arc; 花样一:-用分频器分频后的时钟来显示花样实现library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity HY1 is port( clk1:in std_lo
6、gic; clr:in std_logic; xuan:in std_logic_vector(1 downto 0); led1:out std_logic_vector(7 downto 0) ); end HY1; architecture arc of HY1 is type state is(s0,s1,s2,s3,s4,s5,s6); signal current_state:state; signal light:std_logic_vector(7 downto 0); begin process(clr,clk1,xuan)is constant l1:std_logic_v
7、ector(7 downto 0):=10000001; constant l2:std_logic_vector(7 downto 0):=01000010; constant l3:std_logic_vector(7 downto 0):=00100100; constant l4:std_logic_vector(7 downto 0):=00011000; constant l5:std_logic_vector(7 downto 0):=00100100; constant l6:std_logic_vector(7 downto 0):=01000010; 名师资料总结 - -
8、-精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 3 页,共 14 页 - - - - - - - - - begin if xuan=01then if clr=1 then current_statelight=ZZZZZZZZ;current_statelight=l1;current_statelight=l2;current_statelight=l3;current_statelight=l4;current_statelight=l5;current_statelight=l6;current
9、_state=s1; end case; end if; end if; end process; led1=light; end arc; 花样二:library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity HY2 is port( clk1:in std_logic; clr:in std_logic; xuan:in std_logic_vector(1 downto 0); led2:out std_logic_vector(7 downto 0); end HY2; archit
10、ecture arc of HY2 is type state is(s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13,s14,s15,s16,s17,s18,s19,s20,s21,s22,s23,s24,s25,s26,s27,s28,s29,s30); signal current_state:state; signal light:std_logic_vector(7 downto 0); begin process(clr,clk1,xuan)is constant l1:std_logic_vector(7 downto 0):=00000
11、000; constant l2:std_logic_vector(7 downto 0):=10000000; constant l3:std_logic_vector(7 downto 0):=11000000; constant l4:std_logic_vector(7 downto 0):=11100000; constant l5:std_logic_vector(7 downto 0):=11110000; 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 4 页,共 1
12、4 页 - - - - - - - - - constant l6:std_logic_vector(7 downto 0):=11111000; constant l7:std_logic_vector(7 downto 0):=11111100; constant l8:std_logic_vector(7 downto 0):=11111110; constant l9:std_logic_vector(7 downto 0):=11111111; constant l10:std_logic_vector(7 downto 0):=01111111; constant l11:std_
13、logic_vector(7 downto 0):=00111111; constant l12:std_logic_vector(7 downto 0):=00011111; constant l13:std_logic_vector(7 downto 0):=00001111; constant l14:std_logic_vector(7 downto 0):=00000111; constant l15:std_logic_vector(7 downto 0):=00000011; constant l16:std_logic_vector(7 downto 0):=00000001;
14、 constant l17:std_logic_vector(7 downto 0):=00000011; constant l18:std_logic_vector(7 downto 0):=10000111; constant l19:std_logic_vector(7 downto 0):=00001111; constant l20:std_logic_vector(7 downto 0):=00011111; constant l21:std_logic_vector(7 downto 0):=00111111; constant l22:std_logic_vector(7 do
15、wnto 0):=01111111; constant l23:std_logic_vector(7 downto 0):=11111111; constant l24:std_logic_vector(7 downto 0):=11111110; constant l25:std_logic_vector(7 downto 0):=11111100; constant l26:std_logic_vector(7 downto 0):=11111000; constant l27:std_logic_vector(7 downto 0):=11110000; constant l28:std
16、_logic_vector(7 downto 0):=11100000; constant l29:std_logic_vector(7 downto 0):=11000000; constant l30:std_logic_vector(7 downto 0):=10000000; begin if xuan=10 then if clr=1 then current_statelight=ZZZZZZZZ;current_statelight=l1;current_statelight=l2;current_statelight=l3;current_statelight=l4;curre
17、nt_statelight=l5;current_statelight=l6;current_statelight=l7;current_statelight=l8;current_statelight=l9;current_statelight=l10;current_statelight=l11;current_statelight=l12;current_statelight=l13;current_statelight=l14;current_statelight=l15;current_statelight=l16;current_statelight=l17;current_sta
18、telight=l18;current_statelight=l19;current_statelight=l20;current_statelight=l21;current_statelight=l22;current_statelight=l23;current_statelight=l24;current_statelight=l25;current_statelight=l26;current_statelight=l27;current_statelight=l28;current_statelight=l29;current_statelight=l30;current_stat
19、e=s1; end case; end if; end if; end process; led2=light; end art; 花样三:library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity HY3 is port( clk1:in std_logic; clr:in std_logic; xuan:in std_logic_vector(1 downto 0); led3:out std_logic_vector(7 downto 0) ); end HY3; architect
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 2022年西电EDA大作业多功能彩灯 2022 年西电 EDA 作业 多功能 彩灯
![提示](https://www.taowenge.com/images/bang_tan.gif)
限制150内