2022年dc使用教程 .pdf
《2022年dc使用教程 .pdf》由会员分享,可在线阅读,更多相关《2022年dc使用教程 .pdf(30页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、DC 使用说明文件说明:在进行下面的演示时需要用到两个文件,一个是example1.v ,它是描述一个电路的verilog 代码,我们的目标就是用DC 综合这个代码得到满足约束条件的电路网表;另一个是dc.scr,它是综合 example1.v的脚本文件。这两个文件都在 /home/student1000目录下,大家把它们拷贝到自己的目录下,以备使用。DC 既可使用图形界面,也可不使用图形界面而直接运行脚本来综合电路。一、DC 图形界面的使用。1. DC 图形界面的启动1.1 打开一个终端窗口,写入命令dv db_mode,敲入回车。则 DC 图形界面启动,如下图所示名师资料总结 - - -精
2、品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 1 页,共 30 页 - - - - - - - - - 红框处是DC 的命令输入框, 以下在图形界面上的操作都可以在命令输入框中输入相应的命令来完成。选择 Help-Man Pages 可以查看DC 的联机帮助。相应指令:man。例: man man 表示查看 man 命令的帮助。man create_clock 表示查看creat_clock 命令的帮助。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - -
3、 - - 名师精心整理 - - - - - - - 第 2 页,共 30 页 - - - - - - - - - 2.设置库文件选择 File-Setup 需要设置以下库文件,如下图。相应指令:set search_path list /tools/lib/smic25/feview_s/version1/STD/Synopsys /tools/lib/smic25/feview_s/version1/STD/Symbol/synopsys set target_library smic25_ff.db set link_library smic25_ff.db smic25_ss.db se
4、t symbol_library smic25.sdb 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 3 页,共 30 页 - - - - - - - - - 点 OK,设置完成。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 4 页,共 30 页 - - - - - - - - - 3.读入 verilog 文件选择 File-Read 在打开文件对话框中选中要打开的文
5、件,在这里我们选中example1.v 文件。在 Log 框中出现successfully 字样表明读入文件成功。相应命令:read_file 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 5 页,共 30 页 - - - - - - - - - 点击红色箭头所指的按钮可以查看该电路的symbol 图。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 6 页,共 30 页
6、- - - - - - - - - 4.设置约束条件设置时钟约束在 symbol 图上选中 clk 端口名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 7 页,共 30 页 - - - - - - - - - 选择 Attributes-Specify Clock 出来设置时钟约束的对话框,按下图设置,给时钟取名为clock,周期20ns,上升沿0ns,下降沿 10ns。点击 OK,时钟约束设置完成。相应命令:creat_clock 名师资料总结 - - -精品资料欢迎下载 - -
7、- - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 8 页,共 30 页 - - - - - - - - - 设置复位信号约束在 symblo 图中选中 rst_n 端口(在本例中它是复位端口),选择 Attributes-Optimization Directives-Input Port 勾选 Don t touch network 选项,点击OK。相应命令: set_dont_touch_network 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理
8、- - - - - - - 第 9 页,共 30 页 - - - - - - - - - 4.3 设置输入信号延迟约束同时选中输入端口a,b,c 选择 Attributes-Operating Environment-Input Delay 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 10 页,共 30 页 - - - - - - - - - 设置 Relative to clock 为 clock(即我们刚才加约束的时钟信号),并设置上升延迟为8ns (根据经验,该值是时钟周期
9、的40%,本例中设置了时钟周期为20ns,20*0.4=8ns)相应命令: set_input_delay 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 11 页,共 30 页 - - - - - - - - - 4.4 设置输出端口约束在 symblo 图上选中输出端口o。选择 Attributes-Operating Environment-Output Delay 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - -
10、 名师精心整理 - - - - - - - 第 12 页,共 30 页 - - - - - - - - - 设置输出延迟为8ns 相应指令: set_output_delay 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 13 页,共 30 页 - - - - - - - - - 4.5 设置面积约束选择 Attributes-Optimization Constraints-Design Constraints 设置 Max area 的值为 0, 表明让 DC 向电路面积为0
11、的方向来优化电路,使面积最小。 当然,面积为 0 是达不到的。 Max fanout 为 4, Max transition 为 0.5 (具体含义参见SYNTHESIS.pdf )名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 14 页,共 30 页 - - - - - - - - - 相应命令: set_max_area , set_max_fanout,set_max_transition。5.综合优化选择 Design-Compile Design 点击 OK,相应命令:co
12、mpile 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 15 页,共 30 页 - - - - - - - - - 在 Log 框中出现Optimization Complete 字样表明优化完成,如下图所示。6.查看报告查看面积报告选择 Design-Report Area 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 16 页,共 30 页 - - - - - -
13、 - - - 点击 OK,相应命令:report_area。报告总面积为180.223999,单位是平方微米。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 17 页,共 30 页 - - - - - - - - - 查看约束报告选择 Design-Report Constraints 在这里我们只查看all violators 选项(勾选show all violators ) ,该选项是报告综合后所有不满足原先设置的约束条件的条款。名师资料总结 - - -精品资料欢迎下载 - -
14、 - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 18 页,共 30 页 - - - - - - - - - 从下图可以看出只有max_area (最大面积) 约束不满足, 因为我们设置的最大面积约束是0(见4.5) ,而实际综合出的电路面积是180.22。该项violator 是合理的。如果还存在其它violators ,说明前面的约束设置不合理或电路设计不合理,需要对其修改,最终要求除max_area violator 外没有其它violators 。相应命令:report_constraint 名师资料总结 - - -精品资料
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 2022年dc使用教程 2022 dc 使用 教程
限制150内