2022年《数字逻辑系统设计》设计报告格式 .pdf
《2022年《数字逻辑系统设计》设计报告格式 .pdf》由会员分享,可在线阅读,更多相关《2022年《数字逻辑系统设计》设计报告格式 .pdf(14页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、JIU JIANG UNIVERSITY 数字逻辑系统课程设计报告设计课题:简易电子琴专业: 电子信息工程班级学号:20学生姓名:陈长源指导教师:盛健设计时间:2012.12.242012.12.28 简易电子琴名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 1 页,共 14 页 - - - - - - - - - 1 1设计任务与要求1.1 通过对一个简易的八音符电子琴的设计,进一步加深对计算机原理以及数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计、 制作与调试的方法
2、和步骤1.2 设计简易的八音符电子琴,它可通过按键输入来控制音响。1.3 演奏时可以选择是手动演奏 (由键盘输入) 还是自动演奏已存入的乐曲。1.4 能够自动演奏多首乐曲,且每首乐曲可重复演奏2方案设计及主要技术思路2.1 方案一、本设计可有两种方案实现,他们的程序一样,但是所利用逻辑元件不同, 其一是将产生的 32M频率模块, 自动| 手动控制模块, 音节产生模块,分频模块依次连接。 2.2 方案二、利用顶层设计将各个程序整合,再生成相应的图形文件, 利用这个图形元件再接上外围即可实现功能。2.3 优劣分析及方案选定方案一层次分明,逻辑元件连接清晰,易于理解。反观方案二,虽然结构简单,但是不
3、易理解。2.4 采用的硬件平台及主要技术 2.4.1 EDA技 术 是 以 计 算 机 为 工 具 , 根 据 硬 件 描 述 语 言HDL( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。 2.4.2 VHDL 主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外, VHDL 的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。 VHDL 的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)
4、分成外部(或称可是部分,及端口 )和内部(或称不可视部分) ,既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后, 其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL 系统设计名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 2 页,共 14 页 - - - - - - - - - 2 的基本点。3模块设计过程及仿真3.1 A 模块的设计本设计主要分为四个模块,分别为32M频率产生模块,手动 | 自动模块,音节产生模块,
5、分频模块3.1.1A 模块要实现的具体功能,引脚。 32M 频率产生模块的功能是要将100M频率变为 32M ,手动 | 自动模块是实现自动控制或手动控制, 音调发生模块的作用是产生音阶的分频预置值,数控分频模块是对时基脉冲进行分频,得到与1、2、3、4、5、6、7 七个音符相对应的频率。3.1.2 A模块的设计思路和设计方法及关键设计语句说明。音乐有两个重要因素,一个是音节,一个是音调。简单来说就是低音还是高音,每个音持续多久,即为频率。本设计主要就是依据这个思路来实现的,设计方法采用的是自顶而下的设计方法。关键设计语句在后面的具体程序有详细描述。3.1.3A 模块的设计过程中遇到的问题及解
6、决方法本设计遇到的主要问题是如何产生32M的频率,由于程序要求的是32M ,然而开发板上并没有32M的信号。开发板上有48M和 100M的,在分析计算后,发现用 100M产生 32M结果更精确。3.1.4A 模块实现的具体功能、仿真分析、模块符号图。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 3 页,共 14 页 - - - - - - - - - 3 (3)数控分频模块(2)音调发生模块的仿真名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - -
7、- - - - - - - 名师精心整理 - - - - - - - 第 4 页,共 14 页 - - - - - - - - - 4 1.自动手动模块符号图3.1.5 A模块代码见附录,代码必须有注释。自动手动控制library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity automusic is Port ( clk,Auto : in std_logic; -系统时钟;键盘输入 / 自动演奏index2 : in std_l
8、ogic_vector(7 downto 0); -键盘输入信号index0 : out std_logic_vector(7 downto 0); -音符信号输出end automusic; architecture Behavioral of automusic is signal count0:integer range 0 to 31;-change signal clk2:std_logic; begin pulse0:process(clk,Aut0) - 此进程完成对系统时钟8M的分频,得到 4Hz的信号 clk2 名师资料总结 - - -精品资料欢迎下载 - - - - - -
9、 - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 5 页,共 14 页 - - - - - - - - - 5 variable count:integer range 0 to 8000000; begin if Auto=1 then count:=0;clk2=0; elsif clkevent and clk=1 then count:=count+1; if count=4000000(4) then clk2=1; elsif count=8000000 (8)then clk2=0;count:=0; end if; end if;
10、end process; music:process(clk2) -此进程完成自动演奏部分曲的地址累加begin if clk2event and clk2=1 then if count0=31 then count0=0; else count0 index0 index0 index0 index0 index0 index0 index0 index0 index0 index0index0 index0 index0 index0 index0 index0 index0 index0 index0 index0 index0 index0 index0 index0 index0
11、index0 index0 index0 index0 index0 index0 index0 null; end case; else index0 tone0=773;code=1001111;high tone0=912;code=0010010;high tone0=1036;code=0000110;high tone0=1116;code=1001100;high tone0=1197;code=0100100;high tone0=1290;code=0100000;high tone0=1372;code=0001111;high tone0=1410;code=000000
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 数字逻辑系统设计 2022年数字逻辑系统设计设计报告格式 2022 数字 逻辑 系统 设计 报告 格式
限制150内