最新vhdl课程设计报告17868.doc
《最新vhdl课程设计报告17868.doc》由会员分享,可在线阅读,更多相关《最新vhdl课程设计报告17868.doc(8页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、精品资料vhdl课程设计报告17868.一、设计目的本课程设计的目的是熟练掌握相关软件的使用和操作。能对VHDL语言程序进行编译,调试,以及通过计算机仿真,得到正确的仿真波形图,并根据所得仿真波形图分析判断并改进所设计的电路。在成功掌握软件操作基础上,将所数字电路的基础课知识与VHDL语言的应用型知识结合起来并与实际设计,操作联系起来,即“理论联系实际”。深入了解VHDL语言的作用与价值,对用硬件语言设计一个电路系统开始具备一个较完整的思路与较专业的经验。对EDA技术有初步的认识,并开始对EDA技术的开发创新有初步的理解。二、设计内容及操作 1、设计循环彩灯控制器 1.1 设计内容设计一个循环
2、彩灯控制器,该控制器控制红,绿,黄三个发光管循环点亮。要求红发光管亮3秒,绿发光管亮2秒,黄发光管亮1秒。1.2 程序设计LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY caideng_2 ISPORT(clk:IN STD_LOGIC;red,green,yellow:OUT STD_LOGIC);END ENTITY caideng_2;ARCHITECTURE example OF caideng_2 ISSIGNAL dout:STD_LOGIC_VECTOR(2 DOWNT
3、O 0);SIGNAL m:STD_LOGIC_VECTOR(2 DOWNTO 0);BEGINred=dout(2);green=dout(1);yellow=dout(0);PROCESS(clk) ISBEGIN IF(clkEVENT AND clk=1) THEN IF(m=110) THEN m=001;ELSE mdoutdoutdoutdoutdoutdoutdout=000; END CASE;END IF;END PROCESS;END ARCHITECTURE;1.3 仿真波形图1.4波形图分析在仿真时已经设置好开始时间和结束时间,根据以上的波形图可知,当clk信号处于高
4、电平(高低电平可以根据自己所设计的情况自己定义),红发光管最先亮灯(高电平表示亮灯),时间为3s,3s之后绿发光管开始亮灯2s,2s结束黄发光管亮1s,以此循环亮灯,直到仿真结束时间。 2、设计两人抢答器2.1 设计内容 两人抢答,先抢为有效,用发光二极管显示是否抢到优先答题权。答题结束后,按复位键可重新抢答下一题。2.2 程序设计library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity qiangda_3 isport(reset:in std_logic;player:in std_log
5、ic_vector(1 downto 0);out2:out std_logic;fail:out std_logic;out1:out std_logic);end entity qiangda_3;architecture example of qiangda_3 issignal a:std_logic_vector(2 downto 0);beginout2=a(2);out1=a(1);fail=a(0);process(reset,player) isbeginif(reset=0) thenaaaaa=001;end case;end if;end process;end arc
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 最新 vhdl 课程设计 报告 17868
限制150内