DC-PT-FM-ICC学习笔记.doc
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_05.gif)
《DC-PT-FM-ICC学习笔记.doc》由会员分享,可在线阅读,更多相关《DC-PT-FM-ICC学习笔记.doc(24页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、如有侵权,请联系网站删除,仅供学习与交流DC-PT-FM-ICC学习笔记【精品文档】第 - 22 - 页Backend Study Notes4DC综合学习笔记一、verilog 编写 在使用if语句的时候,一定得把条件写全,不然会综合出锁存器。一个if语句只能跟一个表达式。如果一个电路中出现两种时序电路结构(锁存器和触发器),会增加电路的测试难度。If语句对应的是选择器。If语句隐含这优先级别,这和case语句不同。Case和If语句一样,都是用于选择输出的,但是case 语句隐含的是平行的电路结构。当case语句的条件没有完全译码时,会引起具有优先级的电路结构。在使用always语句描述组
2、合电路时,在该语句中读入的所有变量都需要出现在事件列表中,否则可能会得不到期望的结果。(/synopsys full_case parallel_case,如果case语句不写全可以加这个key word)在使用always语句描述时序电路的时候,都会引用触发器,使用” ./reports/power.rptra ./reports/area.rptrt ./reports/timing.rptrc ./reports/constraint.rpt write -format verilog -hierarchy -output ./outputs/adder.vwrite -format d
3、dc -hierarchy -o ./outputs/adder.ddcwrite_sdf ./outputs/adder.sdfwrite_sdc ./outputs/adder.sdc3.时序电路优化脚本linkcreate_clock clk -period 5 -waveform 0 2.5set_dont_touch_network get_clocks clkset_fix_hold get_clocks clkset_clock_uncertainly 0.2 get_clocks clkset_clock_latency -source 0 get_clocks clkset_
4、clock_latency 1 get_clocks clkset_input_transition 0.3 all_inputs #if you want dont a fix value,use set_driving_cell set_clock_transition 0.3 all_clocksset_operating_conditions -min_library fast_1v32c0 -min fast_1v32c0 -max_library slow_1v08c125 -max slow_1v08c125set_wire_load_model -name smic13_wl1
5、0 -library slowset_wire_load_mode topset_wire_load reference_area_1000000-library smic13set_drive drive_of slow_1v08c125/BUFX2/Y get_ports clkset_drive drive_of slow_1v08c125/DFFX1/Q remove_from_collection all_inputs get_ports clkset_load load_of slow_1v08c125/DFFX1/D all_outputsset_input_delay -max
6、 1 -clock clk all_inputsset_input_delay -min 0.2 -clock clk all_inputsset_output_delay -max 1 -clock clk all_outputsset_output_delay -min 0.1 -clock clk all_outputs#set_drive 0 reset,clk set_max_area 0set_max_fanout 2 all_inputsset_max_transition 0.3 all_inputsuniquifycompile -boundary_optimizationr
7、p ./report/power.rptra ./report/area.rptrt ./report/timing.rptrc ./report/constraint.rpt write -format verilog -hierarchy -output ./outputs/.vwrite -format ddc -hierarchy -o ./outputs/.ddcwrite_sdf ./outputs/.sdfwrite_sdc ./outputs/.sdcPT STA学习笔记一、 一些注意的地方report_transitive_fanout clock_tree 报告出的”unk
8、nown”的clock network可能计算出错误的延迟,report_reference 查看cell的属性也可看使用了多少register。当出现这样的问题我们可以使用Stamp模型来解决或者使用virtual clock旁通clkbuf(create_clock name VCLK p 2 w 0 1 find(pin,U49/NQ)set_clock_latancy 0.2 source VCLKset_ideal_net find(net,VCLK)。在时序分析中,经常会检查setup/hold、removal/recovery和min pulse width。然而有些会是unte
9、sted。这使用report_analysis_coverage status_details untested sort_by slack check_type setup|hold去查看。报告结果可能会出现”no_clock”,找到原因。一般是时钟没有到达,或者case_analysis分析;上面修复后会有一部分hold也修复了这是因为register的clk没有clock。然后还有一部分hold是untested可能会是对input/output没有min_delay的约束。报告结果可能会出现”no_paths”,这意味着endpoints没有约束。这可能是设置了set_false_pa
10、th或者set_multicycle_path的原因先使用report_timing查看。二、常用的脚本report_analysis_converage 查看设计所有的setup.hold等情况的比例swap_cell 用这个命令去用相同的cell去代替,这个代替只是虚拟代替。如果设计符合要求了,还得手动去修改netlists。set_disable_timing 禁止个别单元的时序弧有用。有些违例路径共享的共同的叶单元的能力。这称为瓶颈分析且通过使用命令report_bottleneck来进行查看。如果一个时钟延迟是30.5则指令为create_clock -period 10 get_p
11、orts CLKset_clock_latency 2.5 -source -early get_clocks CLKset_clock_latency 3.5 -source -late get_clocks CLK 这个指令只能是source clockset_min_pulse_width -high 1.5 all_clocksset_min_pulse_width -low 1.0 all_clocksreport_min_pulse_width 这个主要是在有时钟门控的时候set_clock_gating_check -setup 0.5 -hold 0.4 get_clocks
12、CLKreport_clock_gating_checkset_disable_clock_gating_check to disable specific clock gating checks in a designreport_exceptions ignoredcheck_timing当设置了时序例外后我们检查是不是有用了用set_case_analysis 0(or edge(rising) get_ports sel 一般用于选择器report_case_analysis remove_case_analysis get_ports selreport_delay_calculat
13、ion from U1/A to U1/Z 用于计算cell或net的时序弧当使用read_sdf 读入延迟文件时报没有某端口时序弧错时使用read_sdf path 和 read_sdf strip_path检查,以及report_annotated_delay list_not_annotated查看。drive_clocks 检查所有clock的类型,像gated clock、internal generated clock和muxed clocks。然后用report_clock。附录时序分析范例pt_shell report_timing -path full_clockPoint
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- DC PT FM ICC 学习 笔记
![提示](https://www.taowenge.com/images/bang_tan.gif)
限制150内