最新VHDL双语教学第2章(共21张PPT课件).pptx
《最新VHDL双语教学第2章(共21张PPT课件).pptx》由会员分享,可在线阅读,更多相关《最新VHDL双语教学第2章(共21张PPT课件).pptx(21页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、VHDLSimulation & Synthesis(Design Flow / Language Framework)第一页,共二十一页。AgendanBasic Language Framework第二页,共二十一页。Majority Voter CircuitnOutput 1 of there is more 1s than 0snOutput 0 of there is more 0s than 1s多数(dush)表决器第三页,共二十一页。SchematicCPLD第四页,共二十一页。VHDL Descriptionlibrary ieee;use ieee.std_logic_1
2、164.all;-entity XYZ is - Can put anything after the - port ( A1, A2, A3 : in std_logic; F : out std_logic );end XYZ;-architecture XYZ _arch of XYZ isbegin F = (A1 and A2) or (A2 and A3) or (A1 and A3); end XYZ_arch;ABCA1A2A3FA1A2A3FFileXYZ.VHD第五页,共二十一页。VHDL in Quartus第六页,共二十一页。Synthesis & Fit第七页,共二十
3、一页。Simulation第八页,共二十一页。Download to Chips第九页,共二十一页。Agenda第十页,共二十一页。XYZ Clock DiagramXYZ(Entity)ABCFXYZ_arch1(Architecture)第十一页,共二十一页。XYZ.VHD (1) data flowlibrary ieee;use ieee.std_logic_1164.all;-entity XYZ is port ( A, B, C : in std_logic; - Comments F : out std_logic );end XYZ;-architecture XYZ_arc
4、h of XYZ isbegin F = (A and B) or (B and C) or (C and A); end XYZ_arch; 第十二页,共二十一页。XYZ.VHD (2) data flowlibrary ieee;use ieee.std_logic_1164.all;-entity XYZ is port ( A, B, C : in std_logic; F : out std_logic );end XYZ;-architecture XYZ_arch of XYZ isbegin F = 1 when (A = 1) and (B = 1) else 1 when
5、(B = 1) and (C = 1) else 1 when (A = 1) and (C = 1) else 0;end XYZ_arch; 第十三页,共二十一页。XYZ.VHD (3) behaviorallibrary ieee;use ieee.std_logic_1164.all;-entity XYZ is port ( A, B, C : in std_logic; F : out std_logic );end XYZ;-architecture XYZ_arch of XYZ isbegin process (A, B, C) begin if (A = 1) and (B
6、 = 1) then F = 1; elsif (B = 1) and (C = 1) then F = 1; elsif (A = 1) and (C = 1) then F = 1; else F = 0; end if; end process;end XYZ_arch;第十四页,共二十一页。XYZ.VHD (4) structurallibrary ieee;use ieee.std_logic_1164.all;library altera;use altera.maxplus2.ALL;-entity XYZ is port ( A, B, C : in std_logic; F
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 最新 VHDL 双语 教学 21 PPT 课件
限制150内