电子系统设计键盘.doc
《电子系统设计键盘.doc》由会员分享,可在线阅读,更多相关《电子系统设计键盘.doc(10页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、 电子系统设计实验报告 姓 名 杨熙丞 指导教师 贾立新 专业班级 自动化1201 学 院 信息工程学院 设计一4*4矩阵键盘编码器,其示意图如下图。Y0到Y3为4路列扫描信号输出线,轮流将每一列置为低电平。X0到X3为4根行输入线,当没有键按下时,X0到X3被上拉电阻拉成高电平。当有键按下时,在列扫描信号的作用下,闭合键对应的行输入线变为低电平。当按键有效时,输出4位键值B3到B0,同时键值有效信号DAV产生由高到低的跳变。KEYCLK为键盘接口的时钟信号.二.设计方案 掌握利用可编程逻辑器件设计编码式键盘接口的方法。 1.键盘编码器实验电路由键盘编码器模块与显示模块组成。显示模块主要是对数
2、码管的编译。4*4矩阵键盘编码器应由键盘扫描电路,行值编码器,消抖电路几局部组成。键盘扫描电路由2位二进制计数器CNT4A与2线-4线译码器DECODER构成。行值编码器由ENCODER组成。消抖电路的按键是否稳定闭合是通过一个具有异步清零与保持功能的16进制计数器CNT16A来检测的。消抖按键的原理如图1所示。 2.实验板连接图如图2所示。KEYCLK来自LED模块的CLKIN,用短路块选择频率为2048Hz。 3.FPGA管脚锁定参考图3与4。 图1 消抖电路图2编码式键盘实验连接图 图3 FPGA I/O引脚分配图注意:其中分配使用的是键盘行输入信号以及键盘列扫描信号即X0-X3与Y0-
3、Y3 图4 LED模块管脚锁定图注意:AA0-AA6显示键值,CC0-CC6显示按键次数并检验防抖效果三原理图设计 根据设计题目给出的功能要求,4*4矩阵键盘编码器应由键盘扫描电路,行值编码器,消抖电路几局部组成,其原理框图如图5所示。 图5 4*4矩阵键盘编码器原理图 设计好4*4矩阵键盘编码器后,参加十六进制计数器CNT16,显示译码器7SLED.构成了4*4矩阵键盘编码器测试电路顶层原理图。 图6 4*4矩阵键盘编码器测试电路顶层原理图library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;enti
4、ty cnt4a is port(clk:in std_logic; en:in std_logic; q:buffer std_logic_vector(1downto 0);end cnt4a;architecture one of cnt4a is begin process(clk,en) begin if(clkevent and clk=1)then if(en=1)then q=q+1; end if; end if; end process;end;DECODER的设计library ieee;use ieee.std_logic_1164.all;use ieee.std_l
5、ogic_unsigned.all;entity DECODER isport(a:in std_logic_vector(1 downto 0);y:out std_logic_vector(3 downto 0)end DECODER;architecture one of DECODER isbeginy(0)=0when a=0 else 1;y(1)=0when a=1 else 1;y(2)=0when a=2 else 1;y(3)=0when a=3 else 1;end; 设I0到I3为键输入信号,低电平有效,优先级次序为I0最高,I3最低。y1,y0为编码输出,KA为键检测
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 电子 系统 设计 键盘
限制150内