专业课程设计报告-利用VHDL实现(2-1-2)卷积码编码(12页).docx
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_05.gif)
《专业课程设计报告-利用VHDL实现(2-1-2)卷积码编码(12页).docx》由会员分享,可在线阅读,更多相关《专业课程设计报告-利用VHDL实现(2-1-2)卷积码编码(12页).docx(12页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、-专业课程设计报告-利用VHDL实现(2-1-2)卷积码编码-第 12 页专业课程设计报告题 目: 利用VHDL实现(2,1,2)卷积码编码姓 名:专 业:通信工程班级学号:同 组人 :指导教师:南昌航空大学信息工程学院20 17 年 6 月 27 日 专业 课程设计任务书20162017学年 第 2 学期第 17 周 19 周 题目利用VHDL实现(2,1,2)卷积码编码内容及要求1.设计一个(2,1,2)卷积码编码器。2.在FPGA上用VHDL硬件描述语言实现上述编码器和译码器。3. 通在试验箱上过拨码开关输入信息序列,观察编码输出(即指示灯的亮灭)进度安排 第17周:查阅资料,确定方案,
2、完成原理图设计及仿真;第18周:领取元器件、仪器设备,制作、焊接电路,调试电路,完成系统的设计;第19周:检查设计结果、撰写课设报告。学生姓名: 指导时间:第1719周指导地点:E楼610室任务下达2017年 6 月12 日任务完成2017年 6月 30日考核方式1.评阅 2.答辩 3.实际操作 4.其它指导教师夏思满系(部)主任徐新河 摘要 在现代数字通信中,为降低数据传输的误码率,提高通信质量及其可靠性,常在通信中采用纠错编码技术。其中卷积码就是一种具有较强纠错能力的纠错码。由于Vitebrbi译码算法比较容易实现,卷积码得到了广泛应用。本课题简明地介绍了用EDA技术实现卷积码编码器的实现
3、。卷积码纠错性能常常优于分组码,是一种性能优越的信道编码。由于码字之间的相关性,其编码器要利用移位寄存器来存储状态。随着纠错编码理论研究的不断深入,卷积码的实际应用越来越广泛。卷积码作为通信系统中重要的编码方式,以其良好的编码性能,合理的译码方式,被广泛应用。本文在阐述卷积码编码器基本工作原理的基础上,给出了(2,1,2)卷积编码器的VHDL设计,在Quartus环境下进行了波形功能仿真,并下载到EP1C6T144C8N芯片上进行验证,最终实现输入四位序列,编码输出八位通过指示灯显示。关键词:卷积码 Quartus EP1C6T144C8N 目录:摘要3目录:4第一章 系统设计要求41.1系统
4、设计要求4第二章 系统组成与工作原理52.1系统组成52.2编码器设计原理52.2.1结构图法描述编码器62.2.2(2,1,2)卷积码的状态转移图6第三章 编码器设计方案与对比选择8第四章 VHDL语言实现及仿真调试94.1编码器电路设计94.2VHDL描述编码器94.2调试11第五章 FPGA编程下载13第六章 实验心得15参考文献16第一章 系统设计要求1.1系统设计要求1. 设计一个(2,1,2)卷积码编码器。2. 在FPGA上用VHDL硬件描述语言实现上述编码器和译码器。3. 通在试验箱上过拨码开关输入信息序列,观察编码输出(即指示灯的亮灭)第二章 系统组成与工作原理2.1系统组成
5、2.2编码器设计原理卷积码也是分组码,但它的监督码元不仅与本组的信息码(k位)有关,而且还与前面若干组(m组)的信息码元有关。用(n,k,m)表示。卷积编码的原理框: 图2-1 卷积码编码框图卷积编码的编码约束长度定义为:串行输入比特通过编码其所需的移位次数,它表示编码过程中相互约束的分支码数,所以具有m级移位寄存器的编码器得约束长度为m十1,有时也说 (m十1)n为卷积编码的编码约束长度。与分组编码一样,卷积编码的编码效率也定义为Rkn,与分组码具有固定码长n不同,卷积码没有,我们可通过周期性地截断来获得分组长度。为了达到清空编码移位寄存器数据bit的目的,需要在输入数据序列末尾附加若干0b
6、it。由于附加的0不包含任何信息,因而,有效编码效率降至k/n以下,如果截断周期取值较大,则有效编码效率会逼近k/n。2.2.1结构图法描述编码器卷积码编码器主要由移位寄存器和模2加法器组成,(2,1,2)卷积码编码器结构图如下: 图2-2-1 (2,1,2)卷积码编码器结构图2.2.2(2,1,2)卷积码的状态转移图该状态图描述了编码器每输入一个信息元时,编码器各可能状态以及伴随状态的转移所产生的分支码字。图2-2-2 (2,1,2)卷积编码器状态转移图图中的小框表示寄存器的状态,连接小框的箭头表示状态转移的方向,两线旁的数字表示:输出分支码字/输入信息比特。状态图简明的表示了在某一时刻编码
7、器的输入比特和输出分支码字的关系。2.2.3(2,1,2)卷积码的生成多项式卷积码编码器第i条支路的生成多项式g(D)= ,对于(2,1,2)卷积码其生成多项式为:g(D)=1+D+,g(D)=1+,信息序列a=()也可表示为a(D)= ,相应的第i条路径的输出为,输出序列可根据v(D)= g(D) a(D)与g(D) a(D) 交织求得。 该(2,1,2)卷积码,一位输入有两位输出,两位都是检验位,信息位被隐藏。生成多项式为 g1=x+x+1 g2=x+1生成矩阵为G=11100101100110110000000111000011生成矩阵每一行都是码字,即分别是1000,0100,0010
8、,0001四种输入的编码输出,输入其他任意四位序列,其编码输出可以由对应行模2加得到。以输入序列1101为例,其编码过程如下:输入:1101=100001000001 输出: 11101000=111011000011101100000011第三章 编码器设计方案与对比选择根据前面对卷积码的描述,实现(2,1,2)编码器主要采用以下两种方案方案一:(2,1,2)卷积码总共只有四种状态,对其采用二进制编码00,01,10,11,任意时刻输入0/1,其输出都在四种状态间跳转。利用编码后的状态作为输出显示。方案二:用(2,1,2)卷积码两个生成多项式分别与输入信号卷积得到两位编码输出。其间要设置寄存
9、器的状态,并在输入时刻实现寄存器的移位功能。最终通过模2加得到两位输出再通过中间存储输出最终序列。实验箱上通过一位拨码开关控制输入,两个指示灯显示编码输出的跳换。对比选择两种方案的VHDL语言实现都较为简单。都需要最初控制复位信号对寄存器清零。但是最终实现下来,发现方案一较为局限。虽然仿真结果成功,输入任意随机序列,可以达到编码效果,但是在实验箱上控制发现,因其只有一个输入,所以只用通过一位拨码开关实现输入,而实验箱上时钟频率过高,最后分频虽然能得到正确的编码输出,但输入太局限只能是一串1或0,最终显示灯停在10或者00状态,想要实现输入任意序列对时钟频率的要求过高,短时间难以实现。而方案二通
10、过改进,实现起来简单,可以直接通过四个拨码开关控制输入,显示灯直接显示8位编码后的输出。所以综合优缺点,最终以方案二进行设计。第四章 VHDL语言实现及仿真调试 4.1编码器电路设计(2,1,2)卷积码编码器模型图4.2VHDL描述编码器library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity juanji1 isport(clk,rst:in std_logic; d:in std_logic_vector(3 downto 0);
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 专业课程 设计 报告 利用 VHDL 实现 卷积码 编码 12
![提示](https://www.taowenge.com/images/bang_tan.gif)
限制150内