2022年modelsim和NCverilog的区别 .pdf
《2022年modelsim和NCverilog的区别 .pdf》由会员分享,可在线阅读,更多相关《2022年modelsim和NCverilog的区别 .pdf(3页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、Modelsim 和 NCverilog 的比较集成电路的发展趋势是,单位面积集成的晶体管的数目越来越多,可靠性越来越越高、稳定性越来越好。现在芯片集成度已经超出可人们的想象,有的芯片内部集成了数百万的晶体管,已经远远超出了人的大脑可以分析的范围。这就对EDA 工具提出了更高的要求,不管是设计、仿真、综合软件的发展,都给集成电路工程师带来了巨大的便利。modelsim是 Mentor graphics公司推出的 HDL 代码仿真工具,也是业界最流行的 HDL 仿真工具之一。支持图形界面操作和脚本操作,常见的图形界面操作相对直观,但是由于重复性操作几率高、处理效率低、工程的非保存性,对于大规模的
2、代码仿真不推荐使用;脚本操作完全可以克服以上的缺点,把常见的命令,比如库文件和 RTL 加载、仿真、波形显示等命令编辑成.do 脚本文件,只需要让Modelsim 运行.do 文件即可以完成仿真,智能化程度高。NCverilog 是 candence公司推出的 Verilog HDL 的仿真工具。NC-Verilog 是Verilog-XL 的升级版,它采用 Native-Compiled 技术,无论仿真速度,处理庞大设计能力,编辑能力,记忆体容量和侦错环境都以倍数升级。C-Verilog 是一个编译仿真器,它把 Verilog 代码编译成 Verilog 程序的定制仿真器。也就是它把 Ver
3、ilog代码转换成一个C 程序,然后再把该C 程序编译成仿真器。因此它启动得稍微慢一些,但这样生成的编译仿真器运行得要比Verilog-XL 的解释仿真器快很多。Ncverilog 是 shell 版的,nclaunch是以图形界面为基础的,二者调用相同内核;Ncverilog 的执行有三步模式和单步模式,在 nclaunch中对应 multiple step和single step;Ncverilog 的三步模式为:ncvlog(编译)ncelab(建立 snapshot文件)ncsim(对snapshot文件进行仿真)基于 shell 的 ncverilog 操作(尤其是单步模式)更适合于
4、大批量操作,hncverilog 的波形查看配套软件是simvision,其中包含原理图、波形、信号流等查看方式。Ncverilog命令使用库文件或库目录ex).ncverilog-f run.f-v lib/lib.v-y lib2+libext+.v /一般编译文件在 run.f中,库文件在 lib.v 中,lib2 目录中的.v 文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译。Verilog Testbench信号记录的系统任务:1).SHM 数据库可以记录在设计仿真过程中信号的变化.它只在 probes有效的时间内记录你 set probe on的信号的变化。ex)
5、.$shm_open(waves.shm);/打开波形数据库$shm_probe(top,AS);/set probe on top 第二个参数:A-signals of the specific scrope 名师资料总结-精品资料欢迎下载-名师精心整理-第 1 页,共 3 页 -S-Ports of the specified scope and below,excluding library cells;C-Ports of the specified scope and below,including library cells;AS-Signals of the specified
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 2022年modelsim和NCverilog的区别 2022 modelsim NCverilog 区别
限制150内