第8章电子系统设计PPT讲稿.ppt
《第8章电子系统设计PPT讲稿.ppt》由会员分享,可在线阅读,更多相关《第8章电子系统设计PPT讲稿.ppt(60页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、第8章电子系统设计第1页,共60页,编辑于2022年,星期一8.1 8.1 等精度频率计设计等精度频率计设计在此完成的设计项目可达到的指标为:在此完成的设计项目可达到的指标为:(1)频率测试功能:测频范围)频率测试功能:测频范围0.1Hz100MHz。测频精度:测频全域相对。测频精度:测频全域相对误差恒为百万分之一。误差恒为百万分之一。(2)脉宽测试功能:测试范围)脉宽测试功能:测试范围0.1s1s,测试精度,测试精度0.01s。(3)占空比测试功能:测试精度)占空比测试功能:测试精度199。第2页,共60页,编辑于2022年,星期一8.1 8.1 等精度频率计设计等精度频率计设计8.1.1
2、主系统组成主系统组成图图8-1 频率计主系统电路组成频率计主系统电路组成第3页,共60页,编辑于2022年,星期一8.1 8.1 等精度频率计设计等精度频率计设计8.1.2 测频原理测频原理图图8-2 等精度频率计主控结构等精度频率计主控结构第4页,共60页,编辑于2022年,星期一 设在一次预置门时间设在一次预置门时间Tpr中对被测信号计数值为中对被测信号计数值为Nx,对标,对标准频率信号的计数值为准频率信号的计数值为Ns,则下式成立:,则下式成立:8-1不难得到测得的频率为:不难得到测得的频率为:8-2图图8-3 频率计测控时序频率计测控时序第5页,共60页,编辑于2022年,星期一8.1
3、.3 FPGA/CPLD开发的开发的VHDL设计设计 占空比=8-3【例例8-1】LIBRARY IEEE;-等精度频率计等精度频率计USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY GWDVPB IS PORT(BCLK:IN STD_LOGIC;-CLOCK1 标准频率时钟信号标准频率时钟信号 TCLK:IN STD_LOGIC;-待测频率时钟信号待测频率时钟信号 CLR:IN STD_LOGIC;-清零和初始化信号清零和初始化信号 CL:IN STD_LOGIC;-预置门控制预置门控制 SPUL:IN S
4、TD_LOGIC;-测频或测脉宽控制测频或测脉宽控制 START:OUT STD_LOGIC;EEND:OUT STD_LOGIC;-由低电平变到高电平时指示脉宽计数结束由低电平变到高电平时指示脉宽计数结束 SEL:IN STD_LOGIC_VECTOR(2 DOWNTO 0);-多路选择控制多路选择控制 DATA:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);-位数据读出位数据读出 END GWDVPB;接下页接下页第6页,共60页,编辑于2022年,星期一ARCHITECTURE behav OF GWDVPB IS SIGNAL BZQ,TSQ :STD_LOGIC_
5、VECTOR(31 DOWNTO 0);-标准计数器标准计数器/测频计数器测频计数器 SIGNAL ENA,PUL :STD_LOGIC;-计数使能计数使能/脉宽计数使能脉宽计数使能 SIGNAL MA,CLK1,CLK2,CLK3:STD_LOGIC;SIGNAL Q1,Q2,Q3,BENA :STD_LOGIC;SIGNAL SS:STD_LOGIC_VECTOR(1 DOWNTO 0);BEGIN START=ENA;DATA=BZQ(7 DOWNTO 0)WHEN SEL=000 ELSE-标准频率计数低标准频率计数低8位输出位输出 BZQ(15 DOWNTO 8)WHEN SEL=0
6、01 ELSE BZQ(23 DOWNTO 16)WHEN SEL=010 ELSE BZQ(31 DOWNTO 24)WHEN SEL=011 ELSE-标准频率计数最高标准频率计数最高8位输出位输出 TSQ(7 DOWNTO 0)WHEN SEL=100 ELSE-待测频率计数值最低待测频率计数值最低8位输出位输出 TSQ(15 DOWNTO 8)WHEN SEL=101 ELSE TSQ(23 DOWNTO 16)WHEN SEL=110 ELSE TSQ(31 DOWNTO 24)WHEN SEL=111 ELSE-待测频率计数值最高待测频率计数值最高8位输出位输出 TSQ(31 DO
7、WNTO 24);BZH:PROCESS(BCLK,CLR)-标准频率测试计数器,标准计数器标准频率测试计数器,标准计数器 BEGIN IF CLR=1 THEN BZQ 0);ELSIF BCLKEVENT AND BCLK=1 THEN IF BENA=1 THEN BZQ=BZQ+1;END IF;END IF;END PROCESS;TF:PROCESS(TCLK,CLR,ENA)-待测频率计数器,测频计数器待测频率计数器,测频计数器 接下页接下页 第7页,共60页,编辑于2022年,星期一BEGIN IF CLR=1 THEN TSQ 0);ELSIF TCLKEVENT AND T
8、CLK=1 THEN IF ENA=1 THEN TSQ=TSQ+1;END IF;END IF;END PROCESS;PROCESS(TCLK,CLR)-计数控制使能,计数控制使能,CL为预置门控信号,同时兼作正负脉宽测试控制信号为预置门控信号,同时兼作正负脉宽测试控制信号 BEGIN IF CLR=1 THEN ENA=0;ELSIF TCLKEVENT AND TCLK=1 THEN ENA=CL;END IF;END PROCESS;MA =(TCLK AND CL)OR NOT(TCLK OR CL);-测脉宽逻辑测脉宽逻辑 CLK1=NOT MA;CLK2=MA AND Q1;C
9、LK3=NOT CLK2;SS=Q2&Q3;DD1:PROCESS(CLK1,CLR)BEGIN IF CLR=1 THEN Q1=0;ELSIF CLK1EVENT AND CLK1=1 THEN Q1=1;END IF;END PROCESS;DD2:PROCESS(CLK2,CLR)BEGIN IF CLR=1 THEN Q2=0;ELSIF CLK2EVENT AND CLK2=1 THEN Q2=1;END IF;END PROCESS;接下页接下页第8页,共60页,编辑于2022年,星期一DD3:PROCESS(CLK3,CLR)BEGIN IF CLR=1 THEN Q3=0;E
10、LSIF CLK3EVENT AND CLK3=1 THEN Q3=1;END IF;END PROCESS;PUL=1 WHEN SS=10 ELSE-当当SS=10时,时,PUL高电平,允许标准计数器计数,高电平,允许标准计数器计数,0;-禁止计数禁止计数 EEND=1 WHEN SS=11 ELSE-EEND为低电平时,表示正在计数,由低电平变到高电平为低电平时,表示正在计数,由低电平变到高电平 0;-时,表示计数结束,可以从标准计数器中读数据了时,表示计数结束,可以从标准计数器中读数据了 BENA ADck=1;lock=1;dclk=0;next_ads_state ADck=0;l
11、ock=0;dclk=1;接下页接下页第14页,共60页,编辑于2022年,星期一next_ads_state ADck=0;lock=0;dclk=1;next_ads_state=sta0;END CASE;END PROCESS;PROCESS(CLK,rst)BEGIN IF RST=0 THEN ads_state=sta0;ELSIF(CLKEVENT AND CLK=1)THEN ads_state=next_ads_state;-在时钟上升沿,转换至下一状态在时钟上升沿,转换至下一状态 END IF;END PROCESS;PROCESS(lock,rst)-此进程中,在此进程
12、中,在lock的上升沿,将转换好的数据锁入的上升沿,将转换好的数据锁入 BEGIN IF RST=0 THEN data 0);ELSIF lockEVENT AND lock=1 THEN data=D;END IF;END PROCESS;ADoe=0;end ADCTRL;第15页,共60页,编辑于2022年,星期一8.2 8.2 高速高速A/DA/D采样控制设计采样控制设计图图8-8 A/D转换仿真波形转换仿真波形第16页,共60页,编辑于2022年,星期一【例例8-3】-TLC5510 采样控制。采样控制。library IEEE;use IEEE.STD_LOGIC_1164.AL
13、L;entity adctrl is port(rst :in std_logic;-复位复位 clk :in std_logic;-采样控制采样控制 Clock 输入;输入;d :in std_logic_vector(7 downto 0);-8位位A/D数据数据 ADck :out std_logic;-TLC5510的的CLK ADoe :out std_logic;-TLC5510的的OE data :out std_logic_vector(7 downto 0);-8位数据位数据 dclk :out std_logic);end adctrl;architecture logi
14、of adctrl is signal lock :std_logic;beginlock=clk;ADck=clk;dclk=not lock;PROCESS(lock,rst)-此进程中,在此进程中,在lock的上升沿,将转换好的数据锁入的上升沿,将转换好的数据锁入BEGIN if rst=0 then data 0);ELSIF lockEVENT AND lock=1 THEN data=D;END IF;END PROCESS;ADoe=0;end logi;第17页,共60页,编辑于2022年,星期一8.3 VGA8.3 VGA图像显示控制器设计图像显示控制器设计对于普通的对于普通
15、的VGA显示器,其引出线共含显示器,其引出线共含5个信号:个信号:R、G、B:三基色信号三基色信号HS:行同步信号行同步信号VS:场同步信号场同步信号 对对这这5个个信信号号的的时时序序驱驱动动,对对于于VGA显显示示器器要要严严格格遵遵循循“VGA工工业业标标准准”,即即64048060Hz模模式式,否否则则会会损损害害VGA显显示器。示器。第18页,共60页,编辑于2022年,星期一8.3 VGA8.3 VGA图像显示控制器设计图像显示控制器设计图图8-9 VGA行扫描、场扫描时序示意图行扫描、场扫描时序示意图第19页,共60页,编辑于2022年,星期一7.3 VGA7.3 VGA图像显示
16、控制器设计图像显示控制器设计VGA工业标准要求的频率:时钟频率(时钟频率(Clock frequency):25.175 MHz (像素输出的频率)(像素输出的频率)行频(行频(Line frequency):):31469 Hz场频(场频(Field frequency):59.94 Hz (每秒图像刷新频率)(每秒图像刷新频率)第20页,共60页,编辑于2022年,星期一8.3 VGA8.3 VGA图像显示控制器设计图像显示控制器设计VGA工业标准显示模式要求:行同步、场同步都为负极性,即同步头脉冲要求是负脉冲。行同步、场同步都为负极性,即同步头脉冲要求是负脉冲。第21页,共60页,编辑于
17、2022年,星期一8.3 VGA8.3 VGA图像显示控制器设计图像显示控制器设计图图8-10 VGA图像控制器框图图像控制器框图 第22页,共60页,编辑于2022年,星期一8.3 VGA8.3 VGA图像显示控制器设计图像显示控制器设计 图图8-11 FPGA模块实体模块实体第23页,共60页,编辑于2022年,星期一8.3 VGA8.3 VGA图像显示控制器设计图像显示控制器设计颜色编码如下:第24页,共60页,编辑于2022年,星期一8.4 8.4 直接数字合成器(直接数字合成器(DDSDDS)设计)设计正弦信号发生器,它的输出可以用下式来描述:正弦信号发生器,它的输出可以用下式来描述
18、:8-4用基准时钟用基准时钟clkclk进行抽样,令正弦信号的相位:进行抽样,令正弦信号的相位:8-5在一个在一个clkclk周期周期T Tclkclk,相位的变化量为:,相位的变化量为:8-6第25页,共60页,编辑于2022年,星期一8.4 8.4 直接数字合成器(直接数字合成器(DDSDDS)设计)设计 为了对进行数字量化,把切割成为了对进行数字量化,把切割成2 2N N份,由此每个份,由此每个clkclk周期的相位周期的相位增量用量化值来表述:增量用量化值来表述:且 为整数与与8-68-6式联立,可得:式联立,可得:8-7第26页,共60页,编辑于2022年,星期一8.4 8.4 直接
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 电子 系统 设计 PPT 讲稿
限制150内