VHDL数字电路课程实验报告.doc
《VHDL数字电路课程实验报告.doc》由会员分享,可在线阅读,更多相关《VHDL数字电路课程实验报告.doc(11页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、VHDL数字电路课程实验报告实验一 8分频器一、实验要求:分别用信号量和变量实现八分频器二、实验过程:1、代码:8分频器vhdlibrary ieee;use ieee.std_logic_1164.all;entity freq_divider isport(clk: in std_logic; out1, out2: buffer bit);end freq_divider;architecture example of freq_divider issignal count1: integer range 0 to 7;beginprocess(clk)variable count2:
2、integer range 0 to 7;beginif(clkevent and clk=1) thencount1=count1+1;count2:=count2+1;if(count1=3) thenout1=not out1;count1=0;end if;if(count2=4) thenout2=not out2;count2:=0;end if;end if;end process;end example;八分频器tbLIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY fd_tb isEND fd_tb;architecture beh
3、avior of fd_tb iscomponent freq_dividerport(clk:IN STD_LOGIC; out1, out2: buffer bit);end component;signal clk:std_logic;signal out1,out2:bit;beginu1: freq_divider port map(clk,out1,out2);processbeginclk=0;wait for 50 ns;loopclk=not clk;wait for 25 ns;end loop;end process;end behavior;2、结果图:实验二 实现例8
4、.6一、 实验要求: 电路只有一个输入时钟信号,输出信号在适中的两个边沿都会发生变化二、 实验内容:1、 代码信号发生器vhdENTITY signal_gen IS PORT (clk: IN BIT; outp: OUT BIT);END signal_gen;ARCHITECTURE fsm OF signal_gen IS TYPE state IS (one, two, three); SIGNAL pr_state1, nx_state1: state; SIGNAL pr_state2, nx_state2: state; SIGNAL out1, out2: BIT;BEGIN
5、PROCESS(clk)BEGIN IF (clkEVENT AND clk = 1) THEN pr_state1 = nx_state1; END IF;END PROCESS;PROCESS (clk)BEGIN IF (clkEVENT AND clk = 0) THEN pr_state2 out1 = 0; nx_state1 out1 = 1; nx_state1 out1 = 1; nx_state1 out2 = 1; nx_state2 out2 = 0; nx_state2 out2 = 1; nx_state2 = one; END CASE;END PROCESS;o
6、utp = out1 AND out2;END fsm;信号发生器tbentity tb_fsm isend tb_fsm;architecture behavior of tb_fsm iscomponent signal_gen isport( clk: in bit; outp: out bit);end component;signal clk,outp:bit;beginu1: signal_gen port map(clk,outp);processbeginclk=0;wait for 20 ns;loopclk=not clk;wait for 10 ns;end loop;e
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- VHDL 数字电路 课程 实验 报告
限制150内