《电子系统设计.学生指导书.doc》由会员分享,可在线阅读,更多相关《电子系统设计.学生指导书.doc(14页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、电子系统设计学生指导书(201220132版)供学生参考使用第1部分 电子系统设计概述1.1 实训目的随着大规模集成电路和电子计算机的迅速发展,电子系统设计方法发生了根本性变革。以电子计算机辅助分析与设计为基础的电子电路设计自动化技术已广泛用于电子系统的设计中。1.2 实训内容内容1:可编程逻辑器件开发与电子系统设计内容2:单片机开发与电子系统设计内容3:电子电路功能分析(* 选作)1.3 实训软件环境(1)Altera公司可编程逻辑器件集成开发环境:Quartus II (2)单片机C51集成开发环境:Keil Vision2(3)电子电路功能分析软件:Multisim(*)1.4 实训参考
2、资料(1)电子系统设计 李金平等编著 电子工业出版社(2)FPGA设计基础 王传新编著 高等教育出版社(3)有关VHDL语言编程设计书籍(4)有关Keil Vision2单片机C51语言编程设计书籍(实训期间必备一本相关参考书)1.5 实训总评成绩说明n 总评成绩(满分100) 平时成绩(40) 设计报告成绩(20) 系统设计考核成绩(40)第2部分 系统可编程技术概述(参见FPGA设计基础P5)2.1 可编程逻辑器件基本原理2.2 高密度系统可编程逻辑器件概述2.3 Altera可编程逻辑器件开发软件概述第3部分 可编程逻辑器件开发方法1硬件描述语言(参见FPGA设计基础P23)【示例】 利
3、用Altera公司Quartus II软件,并采用VHDL硬件描述语言设计方法,设计一个带异步清零十进制计数器。3.1 VHDL硬件描述语言概述(参见FPGA设计基础P23)3.2 创建工程(参见FPGA设计基础P23)3.3 输入源文件(参见FPGA设计基础P20)3.4 综合分析(参见FPGA设计基础P26)3.5 逻辑功能仿真分析(参见FPGA设计基础P28)3.6 生成RTL电路(参见FPGA设计基础P35)3.8 锁定引脚(操作过程参见FPGA设计基础P36,掌宇下载板引脚号配置如下)3.9 编程下载(操作过程参见FPGA设计基础P38,因掌宇下载板系统时钟50MHz,需修改程序,添
4、加分频语句)第4部分 可编程逻辑器件开发方法2原理图(*选作)4.1 基本设计流程(参见FPGA设计基础P49)【示例】 利用Altera公司Quartus II软件,并采用图形设计方法,设计1位半加器单元电路。(注:只波形仿真,不下载。)4.2 层次化设计(参见FPGA设计基础P55)【示例】 利用Altera公司Quartus II软件,并采用层次化设计方法,设计4二进制加法器。(注:只波形仿真,不下载。)4.3 混合层次化设计(参见FPGA设计基础P59)【示例】 利用Altera公司Quartus II软件,并采用VHDL与原理图混合层次化设计方法,设计3位十进制计数器。(注:只波形仿
5、真,不下载)第5部分 可编程逻辑器件在电子系统设计中基本应用(层次化设计)5.1 设计要求(参见电子系统设计P275)设计一个药片自动包装计数控制显示系统。药片通过透明的传送导管加到药瓶中,当药片挡住光电开关时,累计加上一个数。每计完24片药片,就完成1瓶药片的装瓶,机械手就自动将瓶盖拧上。5.2 顶层设计方框图(参见电子系统设计P275)5.3 掌宇开发系统数码管显示控制KH_Model.vhd(参考教材:无)library ieee; use ieee.std_logic_1164.all;entity KH_Model is port(RST_PIO: in std_logic; SEL
6、: in std_logic; A,B,C,D,E,F,G: in std_logic; nCS0_PIO: out std_logic; nOE_PIO,nWE_PIO: out std_logic; data_PIO: inout std_logic_vector(7 downto 0); add_PIO: out bit_vector(4 downto 0);end KH_Model;architecture KH_Model_A of KH_Model is signal SEG: std_logic_vector(7 downto 0);begin process(SEL,RST_P
7、IO) begin SEG = A & B & C & D & E & F & G & 0; if(RST_PIO = 0) then nCS0_PIO = 1; nOE_PIO = 1; nWE_PIO = 1; else nCS0_PIO = 0; nOE_PIO = 1; nWE_PIO = 0; if(SEL = 1) then add_PIO = 11000; data_PIO = SEG; else add_PIO = 11001; data_PIO = SEG; end if; end if; end process;end KH_Model_A;设计说明:掌宇开发系统对于底板上
8、七段数码管等是通过寄存器操作的,操作时序如图所示。5.4 掌宇下载板引脚配置5.5 设计演示(1)按SW4计数使能键,按下停止计数。(2)按SW6计数键,按124计数并显示。(3)按SW8,系统复位。第6部分 可编程逻辑器件在电子系统设计中应用实训题目16.1 出租车计程计价表设计任务书(参见电子系统设计P307)设计一个出租车计程计价表,基本要求:(1)具有车型设置功能设置00011011车轮直径520 mm540 mm560 mm580 mm(2)具有起步里程设置功能设置000001010011100101110111起步里程3 km4 km5 km6 km7 km8 km9 km10 k
9、m(3)具有起步价设置功能设置00011011起步价5 元6 元8 元10 元(4)里程显示采用4位七段数码管,显示格式为. 公里。(5)计费显示采用4位七段数码管,显示格式为.元。(6)出租车启动后,当行驶里程不超过起步里程,里程显示起步里程,计费显示起步价。(7)当行驶里程超过起步里程,里程显示实际行驶里程,计费作相应显示。(8)采用FPGA器件EP1C6Q240C8,开发系统采用QuartusII。其他要求:(1)起步里程后,白天(6:0023:00)每公里按 1.20 元计费,晚间(23:006:00)每公里按 1.80 元计费(每公里加收50%车费)。(2)显示复位功能。(3)内部具
10、有时间计时功能,并可选择显示(计时和计费可选择显示)。时间显示采用8位七段数码管,显示格式为(小时) (分) (秒)。(4)可独立设置小时和分计时值。6.2 掌宇下载板引脚配置6.3 出租车计程计价表设计演示(1)起步里程设置为5 km,起步价设置为10元。(2)按SW8键系统复位。(3)按SW4键控制停止。(4)百米指示灯闪烁指示第7部分 可编程逻辑器件在电子系统设计中应用实训题目27.1 程控波形发生器设计任务书(参见电子系统设计P480)利用FPGA设计一个程控波形发生器,基本要求:(1)具有产生正弦波周期性波形的功能;(2)具有产生方波周期性波形的功能;(3)具有产生三角波或锯齿波周期
11、性波形的功能;(4)具有波形存储功能;(5)输出波形频率范围100 Hz 10 kHz;(6)输出波形幅度范围为5 V 5 V。其他要求:(1)输出波形频率范围100 Hz 10 kHz,重复频率可调,频率步进间隔100 Hz;(2)输出波形幅度范围为5 V 5 V,幅度可调,幅度步进间隔为0.1V。(3)具有选择产生正弦波、方波、三角波或锯齿波周期性波形的功能;(4)具有显示输出波形类型、重复频率和幅度功能;7.2 硬件电路(参见电子系统设计P198、P480)(1)SOPC扩展端口连接(2)数模转换电路(参见电子系统设计P199)(a)(b)7.3 定制LPM_ROM元件(参考FPGA设计
12、基础P124)利用MegaWizard PlugIn Manager,参见FPGA设计基础P124。7.4 掌宇下载板引脚配置7.5 程控波形发生器设计演示(a)(b)7.6 硬件电路元器件清单序号元器件型号和规格数量1DAC0832 数模转换器12IC插座 DIP2013LM324 运算放大器14IC插座 DIP14151/8W 碳膜电阻(5) 7.5 k161/8W 碳膜电阻(5) 15 k271/8W 碳膜电阻(5) 10018电容 0.1F / 16 V19通用焊接板(单面,3 连通孔)1108针单排插件211数据端口插接线8(根)12电源插接线4(根)第8部分 单片机在电子系统设计中
13、应用8.1 波形发生器设计任务书(参见电子系统设计P480)利用单片机设计一个波形发生器,基本要求:(1)具有产生正弦波周期性波形的功能;(2)具有产生方波周期性波形的功能;(3)具有产生三角波或锯齿波周期性波形的功能;(4)具有波形存储功能;(5)输出波形频率范围100 Hz 1 kHz;(6)输出波形幅度范围为5 V 5 V。(7)自拟。(2)数模转换电路(参见电子系统设计P199)(a)(b)(3)单片机最小系统电路连接(参见电子系统设计P346)8.2 单片机开发软件Keil Vision2基本操作步骤1:新建一个工程项目文件。步骤2:创建设计源程序文件。步骤3:把源程序文件添加到项目
14、中。步骤4:编译连接环境设置。步骤5:编译C51语言设计源程序。步骤6:51系列单片机器件ISP下载。8.3 波形发生器设计指定8.4 硬件电路元器件清单序号元器件型号和规格数量1ATMEL单片机 AT89S5212IC插座 DIP4013晶振12M14独石电容 30 pF / 50 V25铝电解电容 10 uF / 50 V261/8W 碳膜电阻(5) 8.2 k17轻触按钮开关181/8W 碳膜电阻(5) 30019发光二极管11052针接插座(CONN SCOKET 52)112DAC0832 数模转换器113IC插座 DIP20114LM324 运算放大器115IC插座 DIP1411
15、61/8W 碳膜电阻(5) 7.5 k1171/8W 碳膜电阻(5) 15 k2181/8W 碳膜电阻(5) 100119电容 0.1F / 16 V120通用焊接板(单面,3 连通孔)1218针单排插件222数据端口插接线8(根)23电源端口插接线4(根)第9部分 电子电路仿真分析方法(*)9.1 直流工作点分析(参见电子系统设计P102)【例3.2】分压式工作点稳定共发射极放大电路如图3.52所示,试仿真分析其直流工作点。源程序:Multisim主要分析功能直流工作点分析。9.2 交流分析(参见电子系统设计P104)【例3.3】共发射极放大电路如图3.55所示,试用交流分析求出电路的频率特
16、性曲线,仿真分析电路的通频带和电压增益。源程序:Multisim主要分析功能交流分析。9.3 瞬态分析(参见电子系统设计P105)【例3.4】分析图3.58所示一阶RC电路中输出节点2的波形。源程序:Multisim主要分析功能瞬态分析。9.4 傅里叶分析(参见电子系统设计P107)【例3.5】已知阻容耦合共发射极放大电路如图3.61所示,试利用傅里叶分析方法,分析输出电压谐波失真系数D。源程序:Multisim主要分析功能傅里叶分析。9.5 失真分析(参见电子系统设计P110)源程序:Multisim主要分析功能失真分析。9.6 直流扫描分析(参见电子系统设计P110)【例3.7】用直流扫描
17、分析法,显示BJT晶体管2N2222A的共射输出特性曲线。源程序:Multisim主要分析功能直流扫描分析。9.7 DC和AC灵敏度分析(参见电子系统设计P112)【例3.8】分压电路如图所示,试用直流灵敏度分析方法,分析节点2输出电压受电路中元件或电源变化的影响程度。源程序:Multisim主要分析功能直流灵敏度分析。9.8 参数扫描分析(参见电子系统设计P114)【例3.9】对共发射极电路中上偏流电阻Rb1做参数扫描分析,观察其阻值在变化时对VT1管基极电流和集电极电流的影响。源程序:Multisim主要分析功能参数扫描分析。9.9 温度扫描分析(参见电子系统设计P115)【例3.10】对共发射极电路做温度扫描分析,分析当温度由30变化到100时,三极管工作点Ic、Ib、Ube和Ubc的变化。源程序:Multisim主要分析功能温度扫描分析。9.10 零极点分析(参见电子系统设计P116)【例3.11】分析如图所示电路的零极点。源程序:Multisim主要分析功能零极点分析。
限制150内