电子系统设计.学生指导书.doc
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_05.gif)
《电子系统设计.学生指导书.doc》由会员分享,可在线阅读,更多相关《电子系统设计.学生指导书.doc(14页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、电子系统设计学生指导书(201220132版)供学生参考使用第1部分 电子系统设计概述1.1 实训目的随着大规模集成电路和电子计算机的迅速发展,电子系统设计方法发生了根本性变革。以电子计算机辅助分析与设计为基础的电子电路设计自动化技术已广泛用于电子系统的设计中。1.2 实训内容内容1:可编程逻辑器件开发与电子系统设计内容2:单片机开发与电子系统设计内容3:电子电路功能分析(* 选作)1.3 实训软件环境(1)Altera公司可编程逻辑器件集成开发环境:Quartus II (2)单片机C51集成开发环境:Keil Vision2(3)电子电路功能分析软件:Multisim(*)1.4 实训参考
2、资料(1)电子系统设计 李金平等编著 电子工业出版社(2)FPGA设计基础 王传新编著 高等教育出版社(3)有关VHDL语言编程设计书籍(4)有关Keil Vision2单片机C51语言编程设计书籍(实训期间必备一本相关参考书)1.5 实训总评成绩说明n 总评成绩(满分100) 平时成绩(40) 设计报告成绩(20) 系统设计考核成绩(40)第2部分 系统可编程技术概述(参见FPGA设计基础P5)2.1 可编程逻辑器件基本原理2.2 高密度系统可编程逻辑器件概述2.3 Altera可编程逻辑器件开发软件概述第3部分 可编程逻辑器件开发方法1硬件描述语言(参见FPGA设计基础P23)【示例】 利
3、用Altera公司Quartus II软件,并采用VHDL硬件描述语言设计方法,设计一个带异步清零十进制计数器。3.1 VHDL硬件描述语言概述(参见FPGA设计基础P23)3.2 创建工程(参见FPGA设计基础P23)3.3 输入源文件(参见FPGA设计基础P20)3.4 综合分析(参见FPGA设计基础P26)3.5 逻辑功能仿真分析(参见FPGA设计基础P28)3.6 生成RTL电路(参见FPGA设计基础P35)3.8 锁定引脚(操作过程参见FPGA设计基础P36,掌宇下载板引脚号配置如下)3.9 编程下载(操作过程参见FPGA设计基础P38,因掌宇下载板系统时钟50MHz,需修改程序,添
4、加分频语句)第4部分 可编程逻辑器件开发方法2原理图(*选作)4.1 基本设计流程(参见FPGA设计基础P49)【示例】 利用Altera公司Quartus II软件,并采用图形设计方法,设计1位半加器单元电路。(注:只波形仿真,不下载。)4.2 层次化设计(参见FPGA设计基础P55)【示例】 利用Altera公司Quartus II软件,并采用层次化设计方法,设计4二进制加法器。(注:只波形仿真,不下载。)4.3 混合层次化设计(参见FPGA设计基础P59)【示例】 利用Altera公司Quartus II软件,并采用VHDL与原理图混合层次化设计方法,设计3位十进制计数器。(注:只波形仿
5、真,不下载)第5部分 可编程逻辑器件在电子系统设计中基本应用(层次化设计)5.1 设计要求(参见电子系统设计P275)设计一个药片自动包装计数控制显示系统。药片通过透明的传送导管加到药瓶中,当药片挡住光电开关时,累计加上一个数。每计完24片药片,就完成1瓶药片的装瓶,机械手就自动将瓶盖拧上。5.2 顶层设计方框图(参见电子系统设计P275)5.3 掌宇开发系统数码管显示控制KH_Model.vhd(参考教材:无)library ieee; use ieee.std_logic_1164.all;entity KH_Model is port(RST_PIO: in std_logic; SEL
6、: in std_logic; A,B,C,D,E,F,G: in std_logic; nCS0_PIO: out std_logic; nOE_PIO,nWE_PIO: out std_logic; data_PIO: inout std_logic_vector(7 downto 0); add_PIO: out bit_vector(4 downto 0);end KH_Model;architecture KH_Model_A of KH_Model is signal SEG: std_logic_vector(7 downto 0);begin process(SEL,RST_P
7、IO) begin SEG = A & B & C & D & E & F & G & 0; if(RST_PIO = 0) then nCS0_PIO = 1; nOE_PIO = 1; nWE_PIO = 1; else nCS0_PIO = 0; nOE_PIO = 1; nWE_PIO = 0; if(SEL = 1) then add_PIO = 11000; data_PIO = SEG; else add_PIO = 11001; data_PIO = SEG; end if; end if; end process;end KH_Model_A;设计说明:掌宇开发系统对于底板上
8、七段数码管等是通过寄存器操作的,操作时序如图所示。5.4 掌宇下载板引脚配置5.5 设计演示(1)按SW4计数使能键,按下停止计数。(2)按SW6计数键,按124计数并显示。(3)按SW8,系统复位。第6部分 可编程逻辑器件在电子系统设计中应用实训题目16.1 出租车计程计价表设计任务书(参见电子系统设计P307)设计一个出租车计程计价表,基本要求:(1)具有车型设置功能设置00011011车轮直径520 mm540 mm560 mm580 mm(2)具有起步里程设置功能设置000001010011100101110111起步里程3 km4 km5 km6 km7 km8 km9 km10 k
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 电子 系统 设计 学生 指导书
![提示](https://www.taowenge.com/images/bang_tan.gif)
限制150内