电子设计自动化课程教案.doc
《电子设计自动化课程教案.doc》由会员分享,可在线阅读,更多相关《电子设计自动化课程教案.doc(3页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、电子设计自动化课程教案Teach09教学课题电子系统设计实践教学学时4 学时第 9、10 次课 共 10 次课教学目标应知使用IP Core进行电子设计的方法应会掌握电子系统各个模块及整个系统的设计思想教学重点DDS设计教学难点FIR滤波器的设计教学方法1 基于实用的目的,介绍用VHDL设计不同类型有限状态机的方法,同时考虑EDA工具和设计实现中许多必须重点关注的问题2 本次课重点突出讲解一般有限状态机的设计。 内容概要1. 直接数字合成器(DDS)设计【例9-1】- DDSC: DDS主模块library IEEE;use IEEE.STD_LOGIC_1164.all;use IEEE.S
2、TD_LOGIC_UNSIGNED.all;use ieee.std_logic_arith.all;library lpm; - Altera LPMuse lpm.lpm_components.all;entity ddsc is - DDS主模块 generic( freq_width : integer := 32; - 输入频率字位宽 phase_width : integer := 12; - 输入相位字位宽 adder_width : integer := 32; - 累加器位宽 romad_width : integer := 10; - 正弦ROM表地址位宽 内容概要rom_
3、d_width : integer := 10); - 正弦ROM表数据位宽 port( clk : in std_logic; - DDS合成时钟 freqin : in std_logic_vector (freq_width-1 downto 0); - 频率字输入 phasein : in std_logic_vector(phase_width-1 downto 0); - 相位字输入 ddsout : out std_logic_vector(rom_d_width-1 downto 0); - DDS输出end entity ddsc;architecture behave of
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 电子设计 自动化 课程 教案
限制150内