多功能数字钟(000001).pdf
《多功能数字钟(000001).pdf》由会员分享,可在线阅读,更多相关《多功能数字钟(000001).pdf(17页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、河南科技大学课 程 设 计 说 明 书课程名称EDA 课程设计题目多功能数字钟设计学院电子信息工程学院班级电信科 081学生姓名邢 中 普指导教师张 雷 鸣日期 2011年 9 月 19 日课程设计任务书课程设计名称EDA 课程设计学生姓名邢中普专业班级电信科 081 设计题目多功能数字钟设计一、课程设计目的1、综合运用EDA技术,独立完成一个课题的设计,考察运用所学知识,解决实际问题的能力;2、结合理论知识,考察阅读参考资料、文献、手册的能力;3、进一步熟悉EDA技术的开发流程,掌握文件编辑、编译、仿真、下载验证等环节的实现方法和应用技巧;4、锻炼撰写研究报告、研究论文的能力;5、通过本实践
2、环节,培养科学和严谨的工作作风。二、设计内容、技术条件和要求l、能进行正常的时、分、秒计时功能,分别由6 个数码显示24 小时、60 分钟的计数器显示。2、能利用实验系统上的按钮实现“校时”、“校分”功能;(1)按下“SA”键时,计时器迅速递增,并按24 小时循环;(2)按下“SB”键时,计时器迅速递增,并按59 分钟循环,并向“时”进位;(3)按下“SC”键时,秒清零;抖动的,必须对其消抖处理。3、能利用扬声器做整点报时:(1)当计时到达59 50”时开始报时,频率可为500Hz;计满 23 小时后回零;计满59分钟后回零。(2)到达 59 59”时为最后一声整点报时,整点报时的频率可定为l
3、KHz。4 定时闹钟功能5、用层次化设计方法设计该电路,用硬件描述语言编写各个功能模块。6、报时功能。报时功能用功能仿真的仿真验证,可通过观察有关波形确认电路设计是否正确。三、时间进度安排1 周:(1)完成设计准备,确定实施方案;(2)完成电路文件的输入和编译;(4)完成功能仿真。2 周:(1)完成文件至器件的下载,并进行硬件验证;(2)撰写设计说明书。四、主要参考文献(1)谭会生、瞿遂春,EDA 技术综合应用实例与分析,西安电子科技大学出版社,2004(2)曹昕燕、周凤臣等,EDA 技术实验与课程设计,清华大学出版社,2006 指导教师签字:2011 年 9月 19 日文档编码:CD9E10
4、T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I
5、10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z1
6、0C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10
7、T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I
8、10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z1
9、0C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10
10、T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I
11、10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8一、实验原理:用层次化设计的方法以VHDL 语言编程实现以下功能:【1】具有“时”、“分”、“秒”计时功能;时为24 进制,分和秒都为60 进制。【2】具有消抖功能:手工按下键盘到是否这个过程大概50ms 左右,在按下开始到弹簧片稳,定接触这段时间为5-10ms,从释放到弹片完全分开也是5-10ms,在达到稳定接触和完全分开的微观过程中,电平是时高时低的,因此如果在首次检测到键盘按下时延时 10ms 再检测就不会检测到抖动的毛刺电平了。64Hz 的信号周期为15.6ms,正适合做消抖信号。【3】具
12、有校时和清零功能,能够用 4Hz 脉冲对“小时”和“分”进行调整,并可进行秒零;【4】具有整点报时功能。在59 分 51 秒、53 秒、55 秒、57 秒发出低音512Hz 信号,在 59分 59秒发出一次高音1024Hz 信号,音响持续 1秒钟,在 1024Hz 音响结束时刻为整点。【5】具有一键设定闹铃及正常计时与闹铃时间的显示转换。闹时时间为一分钟。二、程序流程:1、秒计数器模块设计:模块图如图1。六十进制带进位计数器,可清零,clk 输入信号为1Hz 脉冲,当 q0 计满 9 后q1 增加 1,当 q0 满 9 且 q1 记满 5,q1、q0 同时归零,co 输出为高电平。q1 为十位
13、 q0 为个位。图 1 程序如下:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity c60 is Port(clk,clr:in std_logic;co:out std_logic;q1,q0:out std_logic_vector(3 downto 0);文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9
14、I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z
15、10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E1
16、0T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9
17、I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z
18、10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E1
19、0T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9
20、I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8end c60;architecture one
21、of c60 is begin process(clk,clr)variable cq1,cq0:std_logic_vector(3 downto 0);begin if clr=1 then cq1:=(others=0);cq0:=(others=0);elsif(clkevent and clk=1)then if cq09 then cq0:=cq0+1;co=0;elsif cq10);elsif cq1=5 and cq0=9 then co0);cq0:=(others=0);else co=0;end if;end if;q1=cq1;q00);cq0:=(others=0)
22、;elsif(clkevent and clk=1)then if cq09 then cq0:=cq0+1;co=0;elsif cq10);elsif cq1=5 and cq0=9 then co0);cq0:=(others=0);else co=0;end if;end if;q1=cq1;q0=cq0;end process;end one;仿真结果如下图4 文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D
23、2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9
24、E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9
25、G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D2Z10C7F10 ZV5P9G9I10Z8文档编码:CD9E10T8S10O2 HM6D
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 多功能 数字 000001
限制150内