百进制计数器.docx
《百进制计数器.docx》由会员分享,可在线阅读,更多相关《百进制计数器.docx(6页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、百进制计数器位设计要求:在数码管上实现0-99的递增与递减循环计数。但 设计目的:通过实验更透彻的掌握编写程序的能力,并且拓展VHDL语言的应用o但设计原理:但 硬件要求:主芯片EMP240T100C5, 1个拨码开关,数码管但设计步骤:1 .使用Quartus II新建一个工程ybjz,输入源程序后,进行编译。2 .进行仿真测试。3 .进行引脚分配:Node NameDirectionLocation1dkInputPIN_122dis 6OutputPIN_993dis 5OutputPIN_984dis 可OutputPIN_975dis 3OutputPIN_966dis 2Outpu
2、tPIN_957dislOutputPIN_928dis0OutputPIN_919r5OutputPIN_610r4OutputPIN_511r3OutputPIN_412r2OutputPIN_313rlOutputPIN_214r0OutputPIN_115resetInputPIN_30.下载结果到EMP240开发板,以及通过数码管验证结果是否正确。原理图:源程序:库library ieee;use ieee.std_logic_l 164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;实体entity
3、 ybjz2 isport(clk:in stdogic;reset:in stdjogic;加法,减法计数的控制 dis:out std_logic_vector(6 downto 0)数码管的段选-r:out std_logic_vector(5 downto 0);-数码管的位选- end ybjz2;结构体architecture one of ybjz2 issignal m,n,z:integer range 0 to 9;signal clkl:std_logic;signal clk2:std_logic;signal led integer range 0 to 5;begi
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 百进制 计数器
限制150内