异步复位D触发器设计实验报告.docx
《异步复位D触发器设计实验报告.docx》由会员分享,可在线阅读,更多相关《异步复位D触发器设计实验报告.docx(5页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、深 圳 大 学 实 验 报 告 课程名称: EDA技术 实验项目名称: 异步复位D触发器设计 学院: 信息工程 专业: 电子信息工程 指导教师: 报告人: 学号: 班级: 实验时间: 实验报告提交时间: 教务部制实验目的与要求: 通过编写程序实现D触发器功能,并且要区别设计同步与异步触发器的区别。改变输波形变化,并且综合出硬件电路。方法、步骤:1、 分析D触发器的功能。2、 当复位信号无效时,则输入就会在下个时钟到来时输出。实验过程及内容:异步 library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity shiyan is port (d,clk,rst:i
2、n std_logic; q: out std_logic);end shiyan;architecture Behavioral of shiyan isbegin process (rst,clk) begin if (rst=1) thenq=0;elsif (clkevent and clk=1) thenq=d;end if;end process;end Behavioral;同步library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity shiyan is port (d,rst,clk : in std_logic; q: out std_l
3、ogic);end shiyan;architecture Behavioral of shiyan is signal temp1 : std_logic; signal temp2 : std_logic;begin temp1= not rst; temp2=temp1 and d; process (clk) begin if (clkevent and clk=1) then q=temp2; end if ; end process;end Behavioral;:实验结论: 实验结果与预期相符,波形符合预期要求而且同步和异步的区别体现了出来,同时也能从波形中看出来。较为成功完成了实验。指导教师批阅意见:成绩评定: 指导教师签字: 年 月 日备注:注:1、报告内的项目或内容设置,可根据实际情况加以调整和补充。 2、教师批改学生实验报告时间应在学生提交实验报告时间后10日内。
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 异步 复位 触发器 设计 实验 报告
限制150内