基于CPLD的数字跑表课程设计.doc
《基于CPLD的数字跑表课程设计.doc》由会员分享,可在线阅读,更多相关《基于CPLD的数字跑表课程设计.doc(21页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、湖南工程学院课 程 设 计课程名称 嵌入式系统 课题名称 基于CPLD的数字跑表 专 业 电子信息工程 班 级 电子信息0781 学 号 姓 名 指导教师 2010年 12 月 23 日目 录第一章 引言.1第二章 单元模块设计与仿真.22.1 顶层文件的编写.22.2 总波形图.4 2.3 分频模块FENPIN.5 2.4 10进制计数模块SHI.62.5 60进制计数模块SECOND.72.6 防抖动模块FANG.92.7 启停模块QT.102.8 与门模块AND122.9 非门模块NOT.132.10七段译码显示XIANSHI14心得体会.18第一章 引言20世纪90年代,国际上电子和计
2、算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿
3、真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 EDA(Electronic Design Automation)电子设计自动化技术作为现代电子技术的核心,它依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动完成逻辑编译,逻辑化简,逻辑分割,逻辑综合,结构综合,以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使得设计者的工作仅限于利用软件的方式,即利用既定描述语言和EDA软件来完成对系统硬件功能的实现。不难理解,EDA技术已不
4、是某一学科的分支,或某种新的技能技术,它应该是一综合性学科,它融合多学科于一体,又渗透于各学科之中,它打破了软件和硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率和产品性能合二为一,它代表了电子设计技术和应用技术的发展方向。CPLD即复杂可编程逻辑器件,早期CPLD是从GAL的结构扩展而来,但针对GAL的缺点进行了改进,因此可用于各种现实生活中的应用,比如说本次课程设计数字跑表。第二章 单元模块设计与仿真2.1、顶层文件的编写:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std
5、_logic_unsigned.all;entity watch isport(clk1,clr0,clky,q0:in std_logic; q:out std_logic_vector(6 downto 0); dp:out std_logic; d: out std_logic_vector(7 downto 0) ); end watch;architecture behave of watch iscomponent fen port(clk:in std_logic; c:out std_logic); end component;component shiport(clk,clr
6、:in std_logic; shi0:out std_logic_vector(3 downto 0); co:out std_logic);end component; component miaoport(clr,clk,en:in std_logic; sec0,sec1:out std_logic_vector(3 downto 0); co:out std_logic);end component;component and2aport(a,b:in std_logic; c:out std_logic);end component ;component not2aport(a:i
7、n std_logic; c:out std_logic);end component ;component dou port(din,clk:in std_logic; dout:out std_logic); end component;component aab port(a,clk,clr:in std_logic; q:out std_logic); end component;component bbc port( sel:in std_logic_vector(2 downto 0); q:out std_logic_vector(3 downto 0);end componen
8、t;component display PORT(CLK:IN STD_LOGIC; a1,a2,a3,a4,b1:IN STD_LOGIC_VECTOR(3 DOWNTO 0);dp:OUT STD_LOGIC; d:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);q:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);end component;signal x,d1,e,m,n,h,t: std_logic_vector(3 downto 0);signal y,k,clk2,clk0,w,j,r,z:std_logic;beginu1:fen port
9、map(clk=clk1,c=clk2);u2:shi port map(clk=clk0,clr=clr0,shi0=d1,co=k);u3:miao port map(clk=clk0,clr=clr0,en=k,sec0=n,sec1=e,co=w);u4:not2a port map(a=q0,c=y);u5:and2a port map(a=w,b=k,c=j);u6:miao port map(clk=clk0,clr=clr0,en=j,sec0=h,sec1=t);u7:dou port map(din=y,clk=clk2,dout=r);u8:aab port map(a=
10、r,clk=clk2,clr=clr0,q=z);u9:and2a port map(a=z,b=clk2,c=clk0);u11:display port map(CLK=clky,a2=n,a1=d1,a3=e,a4=h,b1=t,dp=dp,d=d,q=q);end architecture behave;2.2、总波形图:总电路图:总引脚图2.3、分频模块FENPINlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport(clk:in std_logic;
11、 c:out std_logic); end fenpin;architecture behave of fenpin issignal cnter:integer range 0 to 16#493DF#;beginprocess(clk)beginif clkevent and clk=1thenif cnter=16#493DF# then cnter=0;else cnter=cnter+1;end if;end if;end process;process(cnter)beginif cnter=16#493DF# then c=1;else c=0;end if;end proce
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 基于 CPLD 数字 跑表 课程设计
限制150内