洗衣机控制器的设计.doc
《洗衣机控制器的设计.doc》由会员分享,可在线阅读,更多相关《洗衣机控制器的设计.doc(10页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、数字系统设计与硬件描述语言期末考试作业题目: 洗衣机控制器的设计 学院: 电子信息工程学院 专业: 电子信息工程 学号: 姓名: 邹雪强 2013-11-06设计原理及功能介绍以EDA技术的基本理论为指导, 用EDA方法去设计并完成特定功能的电子电路的仿真、调试,本设计为基于VHDL的EDA设计洗衣机控制器的设计,同时要掌握常用仿真开发软件,比如: Quartus II和MaxplusII等EDA常用软件(本次试用使用的软件为QuartusII 9.1)。 基本要求:可选择工作模式;可设定工作时间;具有报警功能等。设计指标:控制洗衣机作如下运转:定时启动正转20秒暂停10秒反转20秒暂停10秒
2、定时未到回到“正转20秒暂停10秒”,定时到则停止;若定时到,则停机发出音响警报信号;用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;三只LED灯表示“正转”、“反转”、“暂停”三个状态。 原理:洗衣机控制器的设计主要是定时器的设计,由一片FPGA和外围电路构成了电器控制部分。FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。对FPGA芯片的编程采用模块化的VHDL进行设计,设计分为三层实现,顶层实现整个芯片的功
3、能。顶层和中间层多数是由VHDL的元件例化语句实现。中间层由运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。用LED显示正转20秒,暂停10秒,反转20秒,暂停10秒,60秒为一周期。总之洗衣机控制器设计的关键是计数器和定时器的设计。 洗衣机控制器电路由五部分组成:1预置时间和编码电路:定时洗涤时间2减法计数器: 计时3时序控制电路: 控制洗涤过程的正转、暂停和反转4译码器: 译出Q1Q2=00时,为暂停,Q1Q2=10时,为正转,Q1Q2=01时为反转5数码管显示: 显示电路显示时间程序源
4、代码及说明洗衣机控制器的源程序如下:一 数码管显示library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity showtime is port(remain_time:in std_logic_vector(3 downto 0); cp:in std_logic; a,b,c,d,e,f,g:out std_logic);end showtime;architecture rtl of showtime issignal temp:std_logic_vector(6 downto 0);be
5、ginprocess(remain_time)begin case remain_time iswhen 0000= temp temp temp temp temp temp temp temp temp temp null; end case;end process;a=temp(6);b=temp(5);c=temp(4);d=temp(3);e=temp(2);f=temp(1);g=temp(0); end rtl; 二 时序电路控制洗衣机按20秒正转,停十秒。20秒反转,停十秒的顺序运行,直到时间结束信号的到来:library ieee;use ieee.std_logic_116
6、4.all;use ieee.std_logic_unsigned.all;entity shixu is port(cp,en,rd:in std_logic; q1,q2:out std_logic-00为停机,10为正转,01为反转);end shixu;architecture rtl of shixu isbegin process(cp)variable state:std_logic; -0代表正转,1代表反转variable wash_time:integer := 21;variable wait_time:integer := 9; begin if(en=0)then w
7、ash_time:=21; Q1=0;Q20) then wash_time:=wash_time-1; wait_time:=9;-等待时间恢复 else if(wait_time0)-运行时间结束,等待时间未到 then wait_time:=wait_time-1; -等待时间减1 else wash_time:=20; -等待时间结束,继续运行 state:=not state; end if; end if; if(wash_time=0) then Q1=0;Q2=0;-暂停 else if(state=0)-正转 then Q1=1;Q2=0; else Q1=0;Q2=1;-反
8、转 end if; end if; else Q1=0;Q2p1p1p1p1p1p1p1=0000; end case; end process;o=p1;end rtl;4、将时序电路的信号翻译为暂停,正转,反转library ieee;use ieee.std_logic_1164.all;entity decoder is port( Q1,Q2: in std_logic; REV,RUN,PAUSE: out std_logic-rev反转,run正转,pause暂停 );end decoder;architecture rtl of decoder isbeginREV=Q2;RU
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 洗衣机 控制器 设计
限制150内