计数器(11页).doc
《计数器(11页).doc》由会员分享,可在线阅读,更多相关《计数器(11页).doc(11页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、-计数器-第 11 页100进制计数器个位十位分开LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL;ENTITY count100 ISPORT( en,clk: IN STD_LOGIC; qa: out STD_LOGIC_VECTOR(3 DOWNTO 0); -个位数计数 qb: out STD_LOGIC_VECTOR(2 DOWNTO 0); -十 数计数 rco: OUT STD_LOGIC); -计数进位END count100; ARCHITECTURE a OF count60
2、ISBEGINprocess(clk)variable tma: STD_LOGIC_VECTOR(3 DOWNTO 0);variable tmb: STD_LOGIC_VECTOR(2 DOWNTO 0);beginif (clkevent and clk=1 )then if (en=1 )thenif (tma=1001 )then tma:=0000; if (tmb=“1001” )then tmb:=“0000”; rco=1; else tmb:=tmb+1; end if; else tma:=tma+1; rco=0; end if; end if; end if;qa=t
3、ma;qb=tmb; end process;END a;60进制不分十位个位library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity lsy is port(clk:in std_logic; cq:out std_logic_vector(7 downto 0); cout:out std_logic); end lsy; architecture zhang of lsy is begin process(clk) variable cqi:std_logic_vector(7 d
4、ownto 0); begin if clkevent and clk=1 then if cqi0); end if; end if; if cqi=59 then cout=1; else cout=0; end if; cq=cqi; end process; end zhang; 分频器 50 占空比1:1LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL;ENTITY count ISPORT( clk: in STD_LOGIC; clkout: buffer STD_LOGIC;END
5、count;ARCHITECTURE a OF count ISSignal count: STD_LOGIC_vector (21 downto 0);BEGINPROCESS (clk)BEGINIF (clk EVENT AND clk = 1 ) THEN IF (count = “11001) THEN count = “00000”; clkout =not clkout; ELSE count = count + 1 ; END IF; END IF;END PROCESS;END a; 使用vhdl语言设计程序,能够实现计算输入信号中1的个数。输入信号data为八位信号,输出y
6、为三位信号,要求该程序能够计算出八位信号的八位中有几位信号是1library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity data isport(data:in std_logic_vector(7 downto 0);y:out std_logic_vector(2 downto 0);end data;architecture loop of data isbegin p1:process(data)variable tmp:std_logic_vector(2 downto 0);begi
7、ntmp:=000;for i in 0 to 7 loopif data(i)=1thentmp:=tmp+1;end if;end loop;y=tmp;end process p1;end loop;设计一个D触发器,输入信号为时钟clk,数据D3:0,复位端rst,输出为Q3:0,要求分别设计同步复位D触发器和异步复位D触发器library ieee;use ieee.std_logic_1164.all;entity dff isport(clk,d,clr:in std_logic; q:out std_logic);end dff;architecture dff2 of dff
8、 isbeginp1:process(clk,clr) beginif(clr =0)thenq=0;elsif(clk event and clk=1)thenq=d;end if;end process p1;end dff2;library ieee;use ieee.std_logic_1164.all;entity dff isport(clk,d,clr:in std_logic; q:out std_logic);end dff;architecture dff2 of dff isbeginp1:process(clk,clr) beginif(clk event and cl
9、k=1)thenif(clr =1)thenq=0;elseq=d;end if;end if;end process ;end dff2;下列程序是使用条件信号赋值语句设计的异或门,请使用if else语句改写该程序。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY xor_gate IS PORT(a,b: in std_logic; c: out std_logic); end xor_gate;ARCHITECTURE data_flow OF xor_gate ISBEGINC = 0WHEN a=0AND b=0ELSE 1WHEN a
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 计数器 11
限制150内