3 可编程逻辑的设计方法.ppt
《3 可编程逻辑的设计方法.ppt》由会员分享,可在线阅读,更多相关《3 可编程逻辑的设计方法.ppt(57页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、第三章第三章可编程逻辑的设计方法可编程逻辑的设计方法 哈尔滨工业大学哈尔滨工业大学(威海威海)信息工程学院电子工程系信息工程学院电子工程系 2009.10.10第三章第三章 可编程逻辑的设计方法可编程逻辑的设计方法 0.0.综述综述1.1.原理图输入原理图输入2.HDL2.HDL语言输入语言输入3.3.状态机输入状态机输入4.4.波形输入波形输入5.5.功能模块输入功能模块输入6.IP6.IP模块输入模块输入7.7.附录附录0.0.综综 述述利用利用EDA工具提供的工具提供的图形编辑器以原理图的方式进行输入图形编辑器以原理图的方式进行输入。原。原理图输入方式比较容易掌握,直观且方便,所画的电路
2、原理图理图输入方式比较容易掌握,直观且方便,所画的电路原理图(请注意,这种原理图与利用(请注意,这种原理图与利用Protel画的原理图有本质的区别)画的原理图有本质的区别)与传统的器件连接方式是完全一样,很容易被人接受。与传统的器件连接方式是完全一样,很容易被人接受。缺点:缺点:随着设计规模的增大,设计的易读性迅速下降,对于图中随着设计规模的增大,设计的易读性迅速下降,对于图中密密麻麻的电路连线,极难搞清楚电路的实际功能;密密麻麻的电路连线,极难搞清楚电路的实际功能;一旦完成,电路结构的改变将十分困难;一旦完成,电路结构的改变将十分困难;移植困难、入档困难,交流困难。移植困难、入档困难,交流困
3、难。1 原理图输入原理图输入利用利用EDA工具提供的工具提供的图形编辑器以原理图的方式进行输入图形编辑器以原理图的方式进行输入。原。原理图输入方式比较容易掌握,直观且方便,所画的电路原理图理图输入方式比较容易掌握,直观且方便,所画的电路原理图(请注意,这种原理图与利用(请注意,这种原理图与利用Protel画的原理图有本质的区别)画的原理图有本质的区别)与传统的器件连接方式是完全一样,很容易被人接受。与传统的器件连接方式是完全一样,很容易被人接受。缺点:缺点:随着设计规模的增大,设计的易读性迅速下降,对于图中随着设计规模的增大,设计的易读性迅速下降,对于图中密密麻麻的电路连线,极难搞清楚电路的实
4、际功能;密密麻麻的电路连线,极难搞清楚电路的实际功能;一旦完成,电路结构的改变将十分困难;一旦完成,电路结构的改变将十分困难;移植困难、入档困难,交流困难。移植困难、入档困难,交流困难。1 原理图输入原理图输入1 原理图输入原理图输入1 其中其中MEGAFUNCTIONS为参数化模块为参数化模块包含包含:a.数学运算库数学运算库ARITHMETIC(乘加等乘加等)b.门库门库(三态门等三态门等)c.io库库(接口转换元件接口转换元件)d.storage库库(存储器存储器)2 Others为以前为以前MAXPLUSII模块模块 主要是主要是74系列的芯片库系列的芯片库(比如比如7404)3 PR
5、IMITIVES 为基本库为基本库(最为基本的元件库最为基本的元件库)a.buffer 为隔离门库为隔离门库(比如比如wire和和soft)b.logic 为基本逻辑为基本逻辑(与或非等与或非等)c.others 为电源为电源,GND等等 d.pin为输入输出管脚为输入输出管脚 e.storage 为基本触发器为基本触发器 LPM(Library Parameterized Modules)模块使用模块使用 参数化的宏功能模块库。1993年4月,LPM作为电子设计交换格式(EDIF)的附属标准,纳入了电子工业协会(EIA)的临时标准。在MAX+PLUS II 和 Quartus II中调用LP
6、M库函数非常方便,用户既可以在图形输入法中直接调用,也可以在HDL源文件中调用。1 原理图输入原理图输入1 原理图输入原理图输入2 2 HDLHDL语言输入语言输入Quartus II输入方式输入方式主要是:VHDLVHDL(V(Very high speed integration circuits ery high speed integration circuits HDL)HDL)Verilog HDL2 2 HDLHDL语言输入语言输入VHDLVHDL语言语言:是是在在AdaAda语语音音基基础础上上发发展展起起来来。由由美美国国国国防防部部发发起起、开开发发并并标标准准化化,198
7、71987年年公公布布为为IEEEIEEE标标准准的的超超高高速速硬硬件件描描述述语语言言.随随后后又又颁颁布布了了ANSI/IEEE ANSI/IEEE STANDARD1076-1993STANDARD1076-1993。由由于于VHDLVHDL语语言言规规范范化化与与标标准准化化,使使得得它它的的系系统统庞庞大大,语语法法规则较为复杂规则较为复杂,但功能都非常强大。,但功能都非常强大。2 2 HDLHDL语言输入语言输入VHDLVHDL硬件描述语言硬件描述语言Very high speed integration circuits HDLVery high speed integrati
8、on circuits HDL起源:起源:19851985年,美国国防部提出计划;年,美国国防部提出计划;19871987年成为年成为IEEE1076IEEE1076标准;标准;19931993年进一步修订完善;年进一步修订完善;是目前标准化程度最高,适应性最广的是目前标准化程度最高,适应性最广的HDLHDL语言;语言;2 2 HDLHDL语言输入语言输入VHDLVHDL硬件描述语言特点:硬件描述语言特点:全方位硬件描述全方位硬件描述从系统到电路从系统到电路多种描述方式多种描述方式适应层次化设计适应层次化设计数据类型丰富,语法严格清晰数据类型丰富,语法严格清晰串行和并行通用,物理过程清楚串行和
9、并行通用,物理过程清楚与工与工艺结艺结构无关,可用于各构无关,可用于各类类EDAEDA工具工具 2 2 HDLHDL语言输入语言输入VHDLVHDL语语言言已已成成为为EDAEDA设设计计中中信信息息交交换换的的重重要要标标准准,它它较较为为注注重重的的规规范范化化与与标标准准化化,使使得得VHDLVHDL语语言言系系统统庞庞大大,语语法法规规则则较较为为复复杂杂,但但功功能能却却非非常常强强大大。它它有有许许多多突突出出的优点的优点;如如语语言言与与工工艺艺的的无无关关性性,可可以以使使设设计计者者在在系系统统设设计计、逻辑验证阶段便确立方案的可行性;逻辑验证阶段便确立方案的可行性;又又如如
10、语语言言的的公公开开可可利利用用性性,使使它它们们便便于于实实现现大大规规模模系系统的设计等;统的设计等;同同时时硬硬件件描描述述语语言言具具有有很很强强的的逻逻辑辑描描述述和和仿仿真真功功能能,而而且且输输入入效效率率高高,在在不不同同的的设设计计输输入入库库之之间间转转换换非非常常方便。方便。因因此此,运运用用VHDLVHDL设设计计已已是是当当前前的的趋趋势势。各各种种EDAEDA工具都集成了工具都集成了VHDLVHDL编译与综合工具编译与综合工具.2 2 HDLHDL语言输入语言输入VHDLVHDL语言语言设计实例设计实例library IEEE;library IEEE;use IE
11、EE.std_logic_1164.all;use IEEE.std_logic_1164.all;use ieee.std_logic_unsigned.alluse ieee.std_logic_unsigned.all entity four is entity four is port(A0:in STD_LOGIC;port(A0:in STD_LOGIC;A1:in STD_LOGIC;A1:in STD_LOGIC;A2:in STD_LOGIC;A2:in STD_LOGIC;A3:in STD_LOGIC;A3:in STD_LOGIC;SEL:IN STD_LOGIC_VE
12、CTOR(1 downto 0);SEL:IN STD_LOGIC_VECTOR(1 downto 0);Y:out STD_LOGIC );Y:out STD_LOGIC );end four;end four;VHDLVHDL语言语言设计实例设计实例architecture four_arch of four isarchitecture four_arch of four isbeginbegin process(SEL,A0,A1,A2,A3)process(SEL,A0,A1,A2,A3)beginbegin case SEL iscase SEL is when 00=Y Y Y
13、Y Y Y Y Y=A3;end case;end case;end process;end process;end four_arch;end four_arch;Verilog HDL设计方法Verilog HDL是源于C语言,高效简洁.Verilog HDL语言是由CADENCE公司修订,经IEEE公布为IEEE STANDAD1364-1995标准的一种硬件描述语言。在美国ASIC设计者使用Verilog HDL语言较为普遍。VHDL语言较强调规范化与标准化,而Verilog HDL较多考虑到设计的有效性和便捷性,两者在结构描述中代码比为3:1。2 2 HDLHDL语言输入语言输入Ve
14、rilog HDLVerilog HDL语言语言设计实例设计实例 module C(A0,A1,A2,A3,SEL,Y);input A0;input A1;input A2;input A3;input 1:0 SEL;output Y;reg Y;四路选择器的Verilog HDL语言源程序清单。2 2 HDLHDL语言输入语言输入Verilog HDL语言设计实例always(SEL or A0 or A1 or A2 or A3)always(SEL or A0 or A1 or A2 or A3)beginbegin case(SEL)case(SEL)2b00:Y=A0;2b00:
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 可编程逻辑的设计方法 可编程 逻辑 设计 方法
限制150内