8.21 自动售货机控制系统设计.ppt
《8.21 自动售货机控制系统设计.ppt》由会员分享,可在线阅读,更多相关《8.21 自动售货机控制系统设计.ppt(21页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、8.21 8.21 自动售货机控制系统设计自动售货机控制系统设计设计要求n设计制作一个自动售货机控制系统。n该系统能完成货物信息存储,进程控制,硬币处理,余额计算,显示等功能。n该系统可以管理四种货物,每种的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行选择。n系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客的要求自动售货,钱币不够则给出提示并退出。n系统自动的计算出应找钱币余额、库存数量并显示。系统组成n系统以FPGA器件为处理数据和控制核心。n系统按功能分为:分频模块 控制模块 译码模块 译码显示模块。系统组成方框图 n首先由售货员把自动售货机里的每种
2、商品的数量和单价通过set键和sel键置入到RAM里。n然后顾客通过sel键对所需要购买的商品进行选择,选定以后通过get键进行购买,再按finish键取回找币,同时结束此次交易。n按get键时,如果投的钱数等于或则大于所购买的商品单价,则自动售货机会给出所购买的商品;如果投的钱数不够,自动售货机不做响应,继续等待顾客的下次操作。n顾客的下次操作可以继续投币,直到钱数到达所要的商品单价进行购买;也可以直接按finish键退币。自动售货机VHDL程序与仿真 自动售货机VHDL程序n-文件名:AUTO.vhd。n-功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。n-说明:显示的钱数c
3、oin的 以5角为单位。n-最后修改日期:2004.3.23。nlibrary ieee;nuse ieee.std_logic_arith.all;nuse ieee.std_logic_1164.all;nuse ieee.std_logic_unsigned.all;nentity AUTO isnport(clk:in std_logic;-系统时钟nset,get,sel,finish:in std_logic;-设定、买、选择、完成信号ncoin0,coin1:in std_logic;-5角硬币、1元硬币nprice,quantity :in std_logic_vector(3
4、 downto 0);-价格、数量数据nitem0,act:out std_logic_vector(3 downto 0);-显示、开关信号ny0,y1:out std_logic_vector(6 downto 0);-钱数、商品数量显示数据nact10,act5 :out std_logic);-1元硬币、5角硬币nend AUTO;narchitecture behav of AUTO isntype ram_type is array(3 downto 0)of std_logic_vector(7 downto 0);nsignal ram:ram_type;-定义RAMnsign
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 8.21 自动售货机控制系统设计 自动 售货 控制系统 设计
限制150内