Copyof基本语言介绍.ppt
《Copyof基本语言介绍.ppt》由会员分享,可在线阅读,更多相关《Copyof基本语言介绍.ppt(29页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、LIBRARYLIBRARY ieee;ieee;USEUSE ieee.std_logic_1164.all;ieee.std_logic_1164.all;ENTITY simp ENTITY simp ISISPORTPORT(a(a,b,c,d:IN Std_Logic;b,c,d:IN Std_Logic;g:OUT Std_Logic);g:OUT Std_Logic);ENDEND simp;simp;ARCHITECTUREARCHITECTURE logiclogic OFOF simpsimp ISISSIGNAL eSIGNAL e,f:Std_Logic;f:Std_L
2、ogic;BEGINBEGIN e=a or b;e=a or b;f=not(c or d);f=not(c or d);g=e and f;g=e and f;ENDEND logic;logic;a ab bc cd dg ge ef fvv 例:端口信号和内部连接信号的说明例:端口信号和内部连接信号的说明例:端口信号和内部连接信号的说明例:端口信号和内部连接信号的说明端口信号端口信号端口信号端口信号在构造体内声明的内部连接信号在构造体内声明的内部连接信号在构造体内声明的内部连接信号在构造体内声明的内部连接信号一、基本结构介绍一、基本结构介绍程序结构程序结构Use 定义区定义区 libr
3、ary ieee;use ieee.Std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;库、程序包的使用说明,说明库、程序包的使用说明,说明设计是在什么规范范围内设计是在什么规范范围内设计的。设计的。Ieee为程序库,为程序库,Std_logic_1164为程序包,为程序包,.all表示允许使用程表示允许使用程序包中的所有内容,如类型定义、函数、过程、常量等。序包中的所有内容,如类型定义、函数、过程、常量等。Entity 定义区定义区 Entity 芯片电路名称芯片电路名称 is POR
4、T(管脚名称管脚名称1:输入输出状态:输入输出状态 资料类型;资料类型;管脚名称管脚名称n:输入输出状态:输入输出状态 资料类型资料类型););End 芯片电路名称;芯片电路名称;实体描述的是电路器件的实体描述的是电路器件的外部情况(输入输出端口)外部情况(输入输出端口)以及各信号端口的性质。以及各信号端口的性质。如信号流动的方向和数据如信号流动的方向和数据类型等。例:类型等。例:4 4选选1 1数据选数据选择器。择器。Entity ch4 isPort(a1,a0:in std_logic;d3,d2,d1,d0:in std_logic;y:out std_logic);End ch4;E
5、ntity ch4 isPort(a1,a0:in std_logic;d3,d2,d1,d0:in std_logic;y:out std_logic);End ch4;实体名称实体名称端口名称端口名称输入输出状态输入输出状态数据类型数据类型实体名称,由设计者自定,最好根据电路的功能来取,可用英文字实体名称,由设计者自定,最好根据电路的功能来取,可用英文字母、数字和下划线,但不能用中文定义实体名,也不能与母、数字和下划线,但不能用中文定义实体名,也不能与EDA库中库中的元件名冲突,且不能用数字起头的实体名。的元件名冲突,且不能用数字起头的实体名。端口信号名:描述的是电路的端口,用;结尾,但是
6、要注意最后一端口信号名:描述的是电路的端口,用;结尾,但是要注意最后一句定义无;,要括号外面加分号。句定义无;,要括号外面加分号。端口模式:有端口模式:有in,out,inout,和,和buffer。其中其中in为输入端口,单向只读,数据只能通过此端口被读入实体中。为输入端口,单向只读,数据只能通过此端口被读入实体中。Out为输出端口,单向输出,数据只能通过此端口从实体向外流出,或为输出端口,单向输出,数据只能通过此端口从实体向外流出,或者说只能向此端口赋值。者说只能向此端口赋值。Inout为输入输出双向端口,从端口的内部来看,可以向此端口赋值,为输入输出双向端口,从端口的内部来看,可以向此端
7、口赋值,也可以通过此端口读入实体外的信息,从端口外部来看,信号即可以从也可以通过此端口读入实体外的信息,从端口外部来看,信号即可以从此端口流出,也可以向此端口输入信号。此端口流出,也可以向此端口输入信号。Buffer缓冲端口,其功能与缓冲端口,其功能与inout类似,区别在于当需要输入数据时,只类似,区别在于当需要输入数据时,只允许内部回读输出的信号,即允许反馈,如计数器设计。允许内部回读输出的信号,即允许反馈,如计数器设计。数据类型:数据类型:VHDL中任何一个数据对象必须严格限定其取值范围和数据类型。中任何一个数据对象必须严格限定其取值范围和数据类型。如如bit类型,取值为逻辑类型,取值为
8、逻辑0和和1,使用时必须,使用时必须0,和,和1,否则会当整型,否则会当整型处理。常用的还有处理。常用的还有std_logic,标准逻辑类型,取值有,标准逻辑类型,取值有(x,0,1,Z,w,L,H,-)。标准逻辑序列。标准逻辑序列std_logic_vector(7 downto 0)。Architecture 定义区定义区Architecture 结构名称结构名称 OF 芯片电路名称芯片电路名称 Is(说明语句;)(说明语句;)Begin (内部电路描述)(内部电路描述)End 结构名称;结构名称;结构体负责描述电路器结构体负责描述电路器件的内部逻辑功能或电件的内部逻辑功能或电路结构。路结
9、构。Architecture a of ch4 isBeginY=d0;End a;说明语句放在说明语句放在beginbegin之前,用于说明和之前,用于说明和定义数据对象、数据类型、元件调用定义数据对象、数据类型、元件调用声明。并非必须。声明。并非必须。内部电路功能描述语句要描述电路的内部电路功能描述语句要描述电路的功能,必须存在。如:功能,必须存在。如:=为赋值语句,如为赋值语句,如y=a;y=a;表示将表示将a a的数的数据赋值给据赋值给y y。Architecture a of ch4 isSignal b:std_logic;Beginb=d3 and d2;Y=b;End a;Si
10、gnal Signal 表示非输入输出的内部信号,为实际存在的物理节点,表示非输入输出的内部信号,为实际存在的物理节点,即可被赋值也可赋值给别的信号。即可被赋值也可赋值给别的信号。Process 定义区定义区 属于内部电路描述属于内部电路描述Process (信号(信号1,信号,信号n)Begin (内部描述)(内部描述)End process;注意:信号注意:信号1n 称敏感信号,只要其发生变化,进程重新执行一称敏感信号,只要其发生变化,进程重新执行一次;一个次;一个architecture可以有多个可以有多个processVHDLVHDL执行语句分为并行语句和顺序语句。顺序语句是按照执行语
11、句分为并行语句和顺序语句。顺序语句是按照前后顺序逐条执行的,前后顺序逐条执行的,processprocess中必须使用顺序语句。中必须使用顺序语句。并行语句无论有多少条,都同时执行,与前后顺序无关。结并行语句无论有多少条,都同时执行,与前后顺序无关。结构体中必须使用并行语句。构体中必须使用并行语句。=赋值语句即是并行语句又是顺序语句。赋值语句即是并行语句又是顺序语句。ProcessProcess本身是个本身是个bingbing语句,所以一个语句,所以一个architecturearchitecture里可有多个里可有多个processprocess。Architecture a of ch4
12、isSignal b:std_logic;BeginProcess(d3,d2)beginb=d3 and d2;End process;Y=b;End a;程序还可以有多个程序还可以有多个processprocess。多个多个processprocess之间是并行执行之间是并行执行的。的。Architecture a of ch4 isSignal b,c:std_logic;BeginProcess(d3,d2)beginb=d3 and d2;End process;Process(d1,d0)beginc=d1 and d0;End process;Y=b and c;End a;简单
13、学习逻辑信号的运算简单学习逻辑信号的运算逻辑运算逻辑运算And or not nand xnor nor关系运算关系运算/=逻辑序列信号逻辑序列信号逻辑序列信号逻辑序列信号Bit_vectorStd_logic_vectorFor example:a:in std_logic_vecyor(7 downto 0);b:out std_logic_vector(0 to 3);c:out std_logic_vector(6 downto 0);d:out std_logic_vector(7 downto 0);e:in std_logic_vecyor(3 downto 0)d=a;b=a(
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- Copyof 基本 语言 介绍
限制150内