《ispLever使用教程学习.pptx》由会员分享,可在线阅读,更多相关《ispLever使用教程学习.pptx(58页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、点击程序点击程序Lattice Semiconductor ispLEVER Project Navigator第1页/共58页点击点击File New Project 第2页/共58页输入项目名,选输入项目名,选VHDL,点下一步,点下一步第3页/共58页选器件选器件第4页/共58页点击下一步点击下一步第5页/共58页点击完成点击完成第6页/共58页第7页/共58页点击点击Source New第8页/共58页选选VHDL Module第9页/共58页填入文件名、实体名(两者要一致)和填入文件名、实体名(两者要一致)和结构体名结构体名第10页/共58页点OK第11页/共58页第12页/共58页
2、输入程序输入程序第13页/共58页保存保存第14页/共58页退出退出第15页/共58页第16页/共58页点击点击dff1(dff1.vhd)第17页/共58页双击双击Synplify Synthesize VHDL File源程序编写完成以后是源程序编写完成以后是综合综合第18页/共58页第19页/共58页第20页/共58页双击双击VHDL Test Bench Template第21页/共58页第22页/共58页双击双击Generate Schematic Symbol第23页/共58页第24页/共58页点击器件点击器件ispLSI1032E-70LJ84第25页/共58页双击双击Fit D
3、esign第26页/共58页第27页/共58页第28页/共58页第29页/共58页下载下载第30页/共58页第31页/共58页第32页/共58页第33页/共58页第34页/共58页第35页/共58页2、VHDL的原理图方式设计(八)ISPLEVER 软件的使用方法第36页/共58页点击点击File New Project 第37页/共58页第38页/共58页选器件选器件第39页/共58页点击下一步点击下一步第40页/共58页点击完成点击完成第41页/共58页第42页/共58页点击点击Source New第43页/共58页第44页/共58页第45页/共58页第46页/共58页第47页/共58页第
4、48页/共58页第49页/共58页后面的操作同前后面的操作同前第50页/共58页作业:用作业:用VHDL语言:语言:1、设计一个、设计一个3线线8线译码器;线译码器;2、设计一个上升沿触发、设计一个上升沿触发JK触发器。触发器。CLRJ QK第51页/共58页library ieee;library ieee;entity dff1 isentity dff1 is port(clk,d:in std_logic;port(clk,d:in std_logic;q:out std_logic);q:out std_logic);end;end;architecture rtl of dff1
5、isarchitecture rtl of dff1 isbeginbegin process(clk)process(clk)begin begin if(clkevent and clk=1)then if(clkevent and clk=1)then q=d;q=d;end if;end if;end process;end process;end rtl;end rtl;第52页/共58页library ieee;library ieee;entity and_gate isentity and_gate isPORT(A,B:IN std_logic;X:OUT PORT(A,B:
6、IN std_logic;X:OUT std_logic);std_logic);end;end;architecture rtl of and_gate isarchitecture rtl of and_gate isbeginbeginX=A AND B;X=A AND B;end rtl;end rtl;第53页/共58页library ieee;library ieee;entity decoder_38 isentity decoder_38 isPORT(input:IN std_logic_vector(2 downto 0);PORT(input:IN std_logic_v
7、ector(2 downto 0);output:OUT std_logic_vector(7 downto 0);output:OUT std_logic_vector(7 downto 0);end;end;architecture rtl1 of and_gate isarchitecture rtl1 of and_gate isbeginbeginX=A AND B;Xoutputoutputoutputoutputoutputoutputoutputoutputoutputoutputoutputoutputoutputoutputoutputoutputyoutyoutyoutyoutyoutyoutyoutyoutyoutyoutyoutyoutyoutyoutyoutyoutyyyyyyyyyyyyyyyy=01111111;end case;end case;end process;end process;end rtl;end rtl;第57页/共58页感谢您的观看。第58页/共58页
限制150内