VHDL语言与EDA课程设计.pdf
《VHDL语言与EDA课程设计.pdf》由会员分享,可在线阅读,更多相关《VHDL语言与EDA课程设计.pdf(17页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、 湖 南 人 文 科 技 学 院 课程设计报告 课程名称:VHDL 语言与 EDA 课程设计 设计题目:出租车自动计价器设计 系 别:专 业:班 级:学生姓名:学 号:起止日期:2011 年 6 月 13 日2011 年 6 月 26 日$指导教师:教研室主任:指导教师评语:指导教师签名:年 月 日 成绩评定 项 目 权重 成绩 1、设计过程中出勤、学习态度等方面 2、课程设计质量与答辩 3、设计报告书写及图纸规范程度 总 成 绩 教研室审核意见:教研室主任签字:年 月 日 教学系审核意见:主任签字:年 月 日 摘 要 随着我国社会经济的全面发展,各大中小城市的出租车营运事业发展迅速,出租车已
2、经成为人们日常出行选择较为普遍的交通工具。出租车计价器是出租车营运收费的专用智能化仪表,是出租车市场规范化、标准化以及减少司机与乘客之间发生纠纷的重要设备。一种功能完备、简单易用、计量准确的出租车计价器是加强出租车行业管理、提高服务质量的必备品。根据预定的设计要求和设计思路,我们使用VHDL硬件描述语言设计了一个实际的基于Altera FPGA芯片的出租车自动计价器系统,介绍了该系统的电路结构和程序设计。通过在软件中编译和下载测试,得到了仿真波形和关键的设计结果。经过在实验箱上进行硬件测试,证明该出租车计价系统具有实用出租车计价器的基本功能,如能进一步完善,将可以实用化和市场化。关键词:出租车
3、自动计价器;VHDL;FPGA;目 录 设计要求.错误!未定义书签。1、方案论证与对比.错误!未定义书签。方案一.错误!未定义书签。方案二.错误!未定义书签。两种方案的对比.错误!未定义书签。2、实验步骤和设计过程.错误!未定义书签。计程模块.错误!未定义书签。等待计时模块.错误!未定义书签。计费模块.错误!未定义书签。3、调试与操作说明.错误!未定义书签。中的 VHDL 程序.错误!未定义书签。程序的编译与及仿真波形.错误!未定义书签。程序的下载与功能的测试.错误!未定义书签。4、课程设计心得体会.错误!未定义书签。5、元器件及仪器设备明细.错误!未定义书签。6、参考文献.错误!未定义书签。
4、7、致谢.错误!未定义书签。出租车自动计价器设计 设计要求 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为元,行车里程单价 1 元/公里,等候时间单价元/10分钟,起价 3 元(3 公里起价)。设计的主要技术指标如下:1)计价范围:0元 计价分辨率:元 2)计程范围:099 公里 计程分辨率:1 公里 3)计时范围:059 分 计时分辨率:1 分 1、方案论证与对比 根据本课程设计要求,需要对出租车当行驶公里数和等待时间两种情况对应进行计数计费,同时要求显示出对应行驶公里数、等待时间和出租车费用,我们想到了以下两种方案。方案一 用分频器
5、将外部时钟分为三路频率的信号,用来计时和计程,同时也供整个系统工作,通过设置使能信号,使系统自动对等待时间计时和对行驶公里数的计数,最后将所得结果分别在数码管上显示出来。图 图 1 方案一设计结构图 等待时间脉冲 公里脉冲 计费/复位 FPGA控制器 计程 计时 计费 数码管显示 方案二 各部分主要功能包括:信号输入模块对车轮传感器传送的脉冲信号进行计数,并以高低脉冲模拟出租汽车启动、停止按钮,具有输入信号作用;数据转换模块将计费模块输出的车费和路程转换成十进制数据;译码动态扫描模块将路程与费用的数值译码后用动态扫描的方式驱动数码管5;数码管显示模块将公里数和计费金额显示出来。图 2 方案二设
6、计结构图 两种方案的对比 经分析,两种方案都要通过模块组合来实现出租车计价器的功能。方案一模块数量比方案二多一些,但思路简单,各模块程序也相对简单,因此较容易实现,适合我们初学 EDA 的编程设计。方案二模块较少,但单个模块功能要求较高,程序复杂。所以,我们选择方案一。2、实验步骤和设计过程 计程模块 计程模块:根据提供的里程脉冲信号 fin,计算乘客上车后出租车所行驶的里程数。fin 每来一个上升沿,计程模块实现一次计数,里程数就加 1。当行驶里程大于 3Km 时,本模块中 en0 信号变为 1;进行行驶公里都计费。等待计时模块 等待计时模块:根据分频得到的时钟信号 f_1,计算乘客的等待累
7、计时间。计时器的量程为 59min,满量程归零。等待时间大于 3min 时,本模块中 en1 信号变为 1;f_1信号输入模块 片选信号 产生模块 数据转换模块 数码管 控制模块 动态扫描/译码/数码管显示模块 每来一个上升沿,计量模块实现一次计数,等待时间加 1。计费模块 计费模块:实现计价、计时和计程的数据计费。计量模块实现对于出租车在行驶和等待过程中的里程数和计时功能:计费时钟每来一个上升沿,计量模块实现一次计数,里程数或者等待时间加 1。当行驶里程大于 3Km 时,本模块中 en0 信号变为 1;进行的是行驶公里数大于 3 公里以每公里元计费的操作;当等待时间大于 2min 时,本模块
8、中en1 信号变为 1,进行的是等待时间大于 2 分钟以每分钟元计费的操作;最后用 cha3,cha2,cha1,cha0 来组成的 4 位数显示总费用,最大显示为元。3、调试与操作说明 中的 VHDL 程序 根据设计要求我们写出了 VHDL 程序:library ieee;use use use entity taxi is -定义实体3 port(clk_256 :in std_logic;-频率为256Hz的时钟 start:in std_logic;-计价使能信号 stop:in std_logic;-等待信号 fin:in std_logic;-公里脉冲信号 cha3,cha2,ch
9、a1,cha0:out std_logic_vector(3 downto 0);-费用数据 km1,km0:out std_logic_vector(3 downto 0);-公里数据 min1,min0:out std_logic_vector(3 downto 0);-等待时间 end taxi;architecture behav of taxi is signal f_16,f16,f_1:std_logic;signal q_16:integer range 0 to 15;-分频器 signal q16:integer range 0 to 15;-分频器 signal q_1:
10、integer range 0 to 255;-分频器 signal w:integer range 0 to 59;-秒计数器 signal c3,c2,c1,c0:std_logic_vector(3 downto 0);-制费用计数器 signal k1,k0:std_logic_vector(3 downto 0);-公里计数器 signal m1:std_logic_vector(2 downto 0);-分的十位计数器 signal m0:std_logic_vector(3 downto 0);-分的个位计数器 signal en1,en0,f:std_logic;-使能信号 b
11、egin fenpin:process(clk_256,start)-分频器模块 begin if clk_256event and clk_256=1 then if start=0 then q_16=0;q16=0;f_16=0;f16=0;f_1=0;f=0;else if q_16=15 then q_16=0;f_16=1;-此 if 语句得到频率为 16Hz 的信号 else q_16=q_16+1;f_16=0;end if;if q16=15 then q16=0;f16=1;-此 if 语句得到另一个频率为 16Hz 的信号 else q16=q16+1;f16=0;end
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- VHDL 语言 EDA 课程设计
限制150内