电子钟——合肥工业大学数字逻辑课程设计报告.doc
《电子钟——合肥工业大学数字逻辑课程设计报告.doc》由会员分享,可在线阅读,更多相关《电子钟——合肥工业大学数字逻辑课程设计报告.doc(6页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、一)设计题目:电子钟二)设计任务和基本要求: 1.实验台上的六个数码管显示时、分、秒;2.能使电子钟复位(清零);3.能启动和停止电子钟运行;4.在电子钟停止运行状态下,能够修改时、分、秒的值;5.具有报时功能,整点时喇叭鸣叫。三)所用主要器件和设备: 1、TDS 系列数字电路实验系统2、ISP 系统可编程器件以及数字逻辑课程实验所用部分中、小规模集成电路等3、PC 计算机4、ISP1032E 可编程逻辑器件以及数据选择器、触发器、移位寄存器、计数器及基本门电路等5、在Windows 平台上运行的ispLEVER 编程软件 四)设计思想: 数字钟电路的基本结构由两个 60 进制计数器和一个24
2、 进制计数器组成,分别对秒、分、小时进行计时,当计时到23 时59 分59 秒时,再来一个计数脉冲,则计数器清零,重新开始计时。当数字钟处于计时状态时,秒计数器的进位输出信号作为分钟计数器的计数信号,分钟计数器的进位输出信号又作为小时计数器的计数信号时、分、秒的计时结果通过6 个数码管来动态显示。数字钟除了能够正常计时外,还应能够对时间进行调整。因此,通过模式选择信号MOD1、MOD2 控制数字钟的工作状态,即控制数字钟,使其分别工作于正常计时,调整分、时和设定分、时5 个状态。当数字钟处于计时状态时,3 个计数器允许计数,且秒、分、时计数器的计数时钟信号分别为CLK,秒的进位, 分的进位;当
3、数字钟处于调整时间状态时,被调的分或时会一秒一秒地增加;当数字钟处于定时状态时,可以设定小时和分;当计时到所设定的时刻时,会响闹钟 五)设计步骤及程序: pause是暂停键,当暂停时set1与set2分别控制调节为时还是为分或是为秒。exam提供一个模拟上升沿,hh,hl,mh,ml,sh,sl分别控制小时高位,低位,分钟高位,分钟低位,秒高位,低位。thh,thl,tmh,tml,tsh,tsl分别表示时分秒变化的中间变量。alarm表示闹钟是否报时library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all
4、;entity clock isport( clk:in std_logic; clr:in std_logic; pause:in std_logic; set1:in std_logic; set2:in std_logic; clk1:in std_logic; alarm:out std_logic; hh,hl,mh,ml,sh,sl:out std_logic_vector(3 downto 0) );end clock;architecture behavioral of clock issignal count:integer range 0to 1000;signal thh
5、,thl,tml,tmh,tsh,tsl:std_logic_vector(3 downto 0);signal exam:integer;begin process(clk,clk1,clr,pause,set1,set2) begin alarm=0; if(clr=0)then hh=0000; hl=0000; mh=0000; ml=0000; sh=0000; sl=0000; thh=0000; thl=0000; tmh=0000; tml=0000; tsh=0000; tsl=0000; count=0; exam=0;elsif(clk=1and clkevent)the
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 电子钟 合肥 工业大学 数字 逻辑 课程设计 报告
限制150内