EDA红绿灯设计.docx
《EDA红绿灯设计.docx》由会员分享,可在线阅读,更多相关《EDA红绿灯设计.docx(7页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、安徽经济管理学院EDA技术课程设计报告设计题目:红绿灯设计系 别:信息工程系专 业:应用电子技术班 级:10级02班老 师: 王 海 姓 名: 学 号: 成 绩: 2012 年 11 月 06 日 安徽经济管理学院信息工程系目 录一、设计任务2二、设计步骤2三、实现方案3四、程序设计5五、心得体会6一设计任务设计一个交通控制器,用LED显示交通状态,并用七段数码显示器显示当前状态剩余秒数。(1)主干道绿灯亮时,支干道红灯亮,反之依然,二者交替允许通行,主干道每次放行35S,支干道每次放行25S。每次由绿灯变为红灯过程中,亮光的黄灯作为过渡,黄灯的时间为5S。(2)能实现正常的倒计时显示功能。(
2、3)具有复位清零功能。(4)能实现特殊状态的功能显示。进入特殊状态时,东西南北均显示红灯状态。二、设计步骤(1)采用文本编辑法(2)实体、构造体(3)过程:1kHz分频,1Hz分频,交通灯控制状态,交通灯扫描状态,数码管扫描计数,数码管动态扫描,7段译码。三、 实现方案 (1)从题目中计数值与交通灯的亮灭的关系如下状态主干道支干道时间0绿灯亮红灯亮35s1黄灯亮红灯亮5s2红灯亮绿灯亮25s3红灯亮黄灯亮5s 图1。 (2)下图为交通灯控制器的引脚设置四、 程序设计LIBRARY ieee;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIG
3、NED.ALL;-*实体定义*ENTITY d03saj ISPORT( clk : inSTD_LOGIC;-时钟输入 jin : in std_logic;-禁止通行 rst : inSTD_LOGIC;-复位键 scan : outSTD_LOGIC_VECTOR(3 downto 0);-数码管地址选择新信号 seg7 : out std_logic_vector(7 downto 0);-七段码管显示输出 row : out std_logic_vector(3 downto 0);-输出组控制 lineled : out std_logic_vector(7 downto 0);-
4、点阵行控制 rowled : out std_logic_vector(7 downto 0);-点阵列控制 r,y,g : out std_logic -红黄绿灯输出 );END d03saj;-*构造体定义*architecture led of d03saj is signal p : integer range 0 to 3;-扫描计数器 signal f : integer range 0 to 3;-状态控制寄存器 signal s,c : integer range 0 to 7;-LED扫描计数器 signal clk1khz,clk1hz :std_logic;-分频信号1k
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- EDA 红绿灯 设计
限制150内