EDA移位寄存器.ppt
《EDA移位寄存器.ppt》由会员分享,可在线阅读,更多相关《EDA移位寄存器.ppt(11页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、物理与电子工程学院物理与电子工程学院移位寄存器-实验评述物理与电子工程学院物理与电子工程学院一、简单移位寄存器物理与电子工程学院物理与电子工程学院二、带左右移位的移位寄存器P186library ieee;use ieee.std_logic_1164.all;entity shifter isport(din:in bit_vector(3 downto 0);clk,load,left_right:in std_logic;dout:inout bit_vector(3 downto 0);end shifter;architecture synth of shifter is-signa
2、l shift_val:bit_vector(3 downto 0);begin nxt:process(load,left_right,din,dout)beginif(load=1)then shift_val=din;elsif(left_right=0)then shift_val(2 downto 0)=dout(3 downto 1);shift_val(3)=0;else shift_val(3 downto 1)=dout(2 downto 0);shift_val(0)=0;end if;end process;current:process begin wait until clkevent and clk=1;dout=shift_val;end process;end synth;物理与电子工程学院物理与电子工程学院三、带并行控制的移位寄存器物理与电子工程学院物理与电子工程学院四、桶形移位物理与电子工程学院物理与电子工程学院物理与电子工程学院物理与电子工程学院物理与电子工程学院物理与电子工程学院物理与电子工程学院物理与电子工程学院
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- EDA 移位寄存器
限制150内