SOPCEDA综合课程设计乐曲硬件演奏.pdf
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_05.gif)
《SOPCEDA综合课程设计乐曲硬件演奏.pdf》由会员分享,可在线阅读,更多相关《SOPCEDA综合课程设计乐曲硬件演奏.pdf(17页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、 SOPC EDA 综合课程设计乐曲硬件演奏 2 作者:日期:个人收集整理 勿做商业用途 0 目录 第一章 EDA 技术综合应用设计的主要软件及设备.错误!未定义书签。1。1 主要软件、设备及作用:.错误!未定义书签。1。2 实验电路结构图.。错误!未定义书签。第二章 乐曲硬件演奏电路设计的原理.错误!未定义书签。第三章 乐曲硬件演奏电路 VHDL 程序设计.错误!未定义书签。3。1音乐节拍和音调发生器模块PUTTAB 错误!未定义书签。3.1。1 PUTTAB 模块原理图.错误!未定义书签。3。1.2 puttab 模块时序仿真图.错误!未定义书签。3。2 音乐谱对应分频预制数查表电路模块
2、ONTAB.错误!未定义书签。3。2。1 ONTAB 模块原理图.错误!未定义书签。3。2。2 ONTAB 模块时序仿真图.错误!未定义书签。3.3 音乐符数控 11 分频电路模块 OUTSPEKER.错误!未定义书签。3.3。1 OUTSPEAKER 模块原理图.错误!未定义书签。3。3。2 OUTSPEAKER 模块时序仿真图.错误!未定义书签。3.4 乐曲演奏音符数据文件(梁祝、自选曲).错误!未定义书签。3。4 引脚绑定.错误!未定义书签。第四章 顶层程序的时序仿真图.错误!未定义书签。第五章 课程设计心得体会.错误!未定义书签。参考文献。.。.。.。.。.。.。.。.。.。.。.。.
3、。.。.。.错误!未定义书签。个人收集整理 勿做商业用途 1 第一章 EDA 技术综合应用设计的主要软件及设备 1.1 主要软件、设备及作用:EDA 技术的综合应用设计与开发可能用到的主要开发设计软件、设备及其作用如下:(1)EDA 的工具软件:目前比较流行的、主流厂家的 EDA 的软件工具有 Altera 的 MAX+plus、Quartus,Lattice 的 ispDesignExpERT,Xilinx 的Foundation Series、ISE/ISE-WebPACK Series。(2)计算机辅助分析软件:MATLAB 用于复杂系统的系统级仿真,DSP的系统建模,有关数据的计算和分
4、析等.(3)印刷电路板 PCB 的设计软件:主要有 PROTEL、ORCAD 等,用于设计系统的外围部件或外围电路的 PCB 图。(4)EDA 实验开发系统/片上系统(SOPC)/嵌入式系统:用于 EDA 实验与开发的硬件的编程下载及硬件验证。(5)单片机仿真器及仿真头:用于单片机程序的调试与硬件的验证。(6)印刷电路板 PCB 的制作设备:用于系统外围部件或外围电路的 PCB的制作。(7)信号源、示波器、频率计、无线电综合测试仪等其它相关设备。1。2 实验电路结构图 个人收集整理 勿做商业用途 2 图错误!未定义书签。-1 实验电路结构图 NO。1 第二章 乐曲硬件演奏电路设计的原理 与利用
5、微处理器来实现乐曲演奏相比,一纯硬件完成乐曲演奏电路的逻辑要复杂的多,如果不借助于功能强大的EDA 工具和硬件描述语言,仅凭传统的数字逻辑技术,即使最简单的演奏电路也难以实现.本文通过利用硬件乐曲演奏电路的设计实例,使读者初步了解 VHDL 硬件描述语言和 Quartus II 开发环境。设计并调试好一个能产生梁祝曲子的音乐发生器,并利用 EDA 实验开发系统(本设计是在 Altera 的 FPGA 芯片CycloneEP1C6 和杭州康芯电子有限公司生产的 GW48 系列 EDA)的基础上完成硬件测试。根据系统提供的时钟源引入一个 12MHZ时钟的基准频率,对其进行各种分频系数的分频,产生符
6、合某一音乐的频率,然后再引入 8HZ 的时钟为音乐的节拍控制,最后通过扬声器放出来.个人收集整理 勿做商业用途 3 图 11 音乐产生器原理框图 乐曲时由每个音符的发音频率值及其持续的时间是乐曲能连续演奏的两个基本要素,在下面的电路就是来获取这两个要素所对应的数值以及通过纯硬件的手段来利用这些数值来实现所希望乐曲的演奏效果。模块 PUTTAB 类似于弹琴人的手指;模块 ONTAB 类似于琴键;模块 OUTSPEKER 类似于琴弦或音调发生器。乐曲硬件演奏电路设计的原理:(1)音符的频率可以由图中的 OUTSPEKER 获得,这是一个数控分频器.由其 CLK 端输入一具有较高频率(这里是 12M
7、HZ)的信号,通过 OUTSPEKER 分频后又 SPKOUT 输出,由于直接从数控分频器中出来的输出信号时脉宽及其狭窄的脉冲式信号,为了有利于驱动扬声器,需另加一个 D 触发器一均衡其占空比,但这是的频率将是原来的一半。OUTSPEKER 对 CLK 输入信号的分频比又 11 位的预置数 TONE10.。0决定。SPKOUT 的输出频率将决定每一音符的音调,这样,分频计数器的预置数 TONE10.0与 SPKOUT 的输出频率就有了对应关系。例如在 ONTAB 模块中若去 TONE10.。0=1036,将发音符为“3音的信号频率。(3)3.22 音符的持续时间须根据乐曲的速度及每个音符的节拍
8、数来确定,图 8-6 中模块 ONTAB 的功能首先是为 SPEAKRA 提供决定所发音符的分频预置数,而此数在SPEAKRA输入口停留的时间即为此音符的节拍值.模块ONTAB是乐曲简谱码对应的分频预置数查表电路,其中设置了“梁祝”乐曲全部音符所对应的分频预置数,共 13 个,每一音符的停留时间由音乐节拍和音调发生器模块 PUTTAB的 clk 的输入频率决定,在此为 4HZ。这 13 个值的输出由对应于 ONTAB 的 4 位输入值 Index【3.。0】确定,而 Index【3.。0】最多有 16 种可选值。输向 ONTAB中 Index【3。0】的值 ToneIndex【3.。0】的输出
9、值与持续的时间由模块 NOTERABS决定.预置初始十六音名扬声数码12M8H乐谱个人收集整理 勿做商业用途 4(3)3.23 在 PUTTAB 中设置了一个 8 位二进制计数器(计数最大值为137),作为音符数据ROM 的地址发生器。这个计数器的计数频率选为 4HZ,即每一计数值的停留时间为0.25秒,恰为当全音符设为1 秒时,四四拍的4 分音符持续时间。例如,PUTTAB在以下的 VHDL 逻辑描述中,“梁祝乐曲的第一个音符为“3”,此音在逻辑中停留了 4 个时钟节拍,即 1 秒时间,相应的,所对应的“3”音符分频预置值为 1036,在 OUTSPEKERA的输入端停留了1 秒.随着 PU
10、TTAB中的计数器按 4HZ 的时钟速率作加法计数时,即随地址值递增时,音符数据 ROM 中的音符数据将从 ROM 中通过 ToneIndex【3。.0】端口输向 ONTAB 模块,“梁祝”乐曲就开始连续自然的演奏起来了。图 2-2 顶层模块设计原理图 第三章 乐曲硬件演奏电路 VHDL 程序设计 根据顶层模块设计原理图,共分为music 模块、地址发生器模块、分频预置数模块这 3 个模块。music 模块存放乐曲中的音符数据,地址发生器模块作为music 模块中所定制的音符数据 ROM 的地址发生器,分频预置数模块提供分频预置数即给数控分频模块提供计数初值,十六进制模块对 12MHz 的时钟
11、脉冲进行16 分频,得到 750KHz 的频率,给数控分频模块提供时钟脉冲。数控分频模块根据分频预置数输出各个音符所对应的频率。3.1 音乐节拍和音调发生器模块 PUTTAB 乐曲演奏电路的 VHDL 逻辑描述如下:library ieee;-音乐节拍和音调发生器模块 个人收集整理 勿做商业用途 6 3。1.2 puttab 模块时序仿真图 将所编写的音乐节拍和音调模块 PUTTAB 的程序设为工程,选用 Altera 公司的 Cyclone 系列中的 EP1C3TC144 为目标芯片进行仿真.图 3-2 puttab 模块时序仿真图 由上图可以看出,该模块音乐节拍和音调发生器模块,当 CLK
12、 给出上升沿输出时,给出音符节拍,当 RST 复位时音符从头给出 3 5 8 等音乐节拍,当 SEL为低电平时,自动切换到下一首歌曲。满足要求.3.2 音乐谱对应分频预制数查表电路模块 ONTAB library ieee;use ieee。std_logic_1164。all;entity ONTAB is port(index:in std_logic_vector(3 downto 0);tone:out std_logic_vector(10 downto 0);HIGH:OUT std_logic;CODE:out std_logic_vector(3 downto 0));end;
13、architecture one of ONTAB is begin search:process(index)begin CASE Index IS 译码电路,查表方式,控制音调的预置数 WHEN 0000=Tone=”11111111111”;CODE=”0000;HIGH Tone=”01100000101”;CODE=0001;HIGH=0;773;WHEN 0010=Tone Tone=10000001100;CODE Tone=10010101101”;CODE=”0101”;HIGH Tone=”10101011100”;CODE=”0111;HIGH Tone=”1011000
14、0010”;CODE=”0001”;HIGH=1;1410;WHEN 1001”=Tone=10111001000;CODE Tone=11000000110;CODE=”0011”;HIGH=1;-1542;WHEN 1100”=Tone=”11001010110;CODE=0101;HIGH Tone=11010000100”;CODE=”0110;HIGH=1;-1668;WHEN 1111”=Tone=11011000000;CODE=0001”;HIGH=1;1728;when others=null;end case;end process;end;3。2.1 ONTAB 模块原理
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- SOPCEDA 综合 课程设计 乐曲 硬件 演奏
![提示](https://www.taowenge.com/images/bang_tan.gif)
限制150内