数电实验报告四.pdf
《数电实验报告四.pdf》由会员分享,可在线阅读,更多相关《数电实验报告四.pdf(6页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、-计数器及其应用研究 一、实验目的:1熟悉计数器的工作原理,掌握中规模计数器 MSI逻辑功能及其应用。2掌握计数器的级联方法,并会用中规模计数 器MSI实现任意进制计数器。二 实验仪器 1 万用表 一块 2.直流稳压电源 一台 3.函数信号发生器 一台 4.双踪示波器 一台 5.逻辑分析仪 一台 6.数字电路实验板 一块 三实验容 1.用 VHDL 语言描述模 50 计数器。要求完成电路设计,进展电路仿真,并下载后作功能测试。将计数器时钟置为 1HZ 方波信号,输出接译码、显示电路,在数码管上观察输出状态变化。2.设计一个计数型序列码产生电路,产生的序列码输出 Z)为1101000101。要求
2、用 FPGA 实现,并在实验箱上测试其功能,时钟设置为 1KHZ,在示波器上双踪观察并记录 CP,Z 的波形。四实验结果 1VHDL 语言描述-library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity m50 is port (clk:in bit;rst:in bit;en:in bit;rco:out bit;q:out std_logic_vector(5 downto 0);end m50;architecture one of m50 is signal temp_q:std_log
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 实验 报告
限制150内