(精品)EDA技术实用教程第13章.ppt
《(精品)EDA技术实用教程第13章.ppt》由会员分享,可在线阅读,更多相关《(精品)EDA技术实用教程第13章.ppt(40页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、EDA技术实用教程技术实用教程第第 13 13 章章 电子系统设计实践电子系统设计实践 13.1 VGA彩条信号显示控制器设计彩条信号显示控制器设计 K KX康芯科技康芯科技5个信号个信号R、G、B:三基色信号三基色信号HS:行同步信号行同步信号VS:场同步信号场同步信号VGA工业标准要求的频率:工业标准要求的频率:时钟频率时钟频率(Clockfrequency):25.175MHz(像素输出的频率像素输出的频率)行频行频(Linefrequency):31469Hz场频场频(Fieldfrequency):59.94Hz(每秒图像刷新频率每秒图像刷新频率)13.1 VGA彩条信号显示控制器设
2、计彩条信号显示控制器设计 K KX康芯科技康芯科技图图13-1VGA行扫描、场扫描时序示意图行扫描、场扫描时序示意图13.1 VGA彩条信号显示控制器设计彩条信号显示控制器设计 K KX康芯科技康芯科技表表13-1行扫描时序要求:行扫描时序要求:(单位:像素,即输出一个像素单位:像素,即输出一个像素Pixel的时间间隔的时间间隔)行同步头行同步头行图像行图像行周期行周期对应位置对应位置TfTaTbTcTdTeTg时间时间(Pixels)8964086408800表表13-1行扫描时序要求:行扫描时序要求:(单位:像素,即输出一个像素单位:像素,即输出一个像素Pixel的时间间隔的时间间隔)行同
3、步头行同步头行图像行图像行周期行周期对应位置对应位置TfTaTbTcTdTeTg时间时间(Lines)22258480852513.1 VGA彩条信号显示控制器设计彩条信号显示控制器设计 K KX康芯科技康芯科技图图13-2HS和和VS的时序图的时序图13.1 VGA彩条信号显示控制器设计彩条信号显示控制器设计 K KX康芯科技康芯科技图图13-3例例13-7实现电路实现电路13.1 VGA彩条信号显示控制器设计彩条信号显示控制器设计 K KX康芯科技康芯科技表表13-3颜色编码:颜色编码:表表13-4彩条信号发生器彩条信号发生器3 3种显示模式种显示模式颜色颜色黑黑蓝蓝红红品品绿绿青青黄黄白
4、白R00001111G00110011B01010101 1横彩条横彩条1:白黄青绿品红蓝黑:白黄青绿品红蓝黑 2:黑蓝红品绿青黄白黑蓝红品绿青黄白2竖彩条竖彩条1:白黄青绿品红蓝黑:白黄青绿品红蓝黑 2:黑蓝红品绿青黄白黑蓝红品绿青黄白3棋盘格棋盘格1:棋盘格显示模式:棋盘格显示模式1 2:棋盘格显示模式棋盘格显示模式213.1 VGA彩条信号显示控制器设计彩条信号显示控制器设计 K KX康芯科技康芯科技【例【例13-1】LIBRARY IEEE;-VGA显示器显示器 彩条彩条 发生器发生器USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSI
5、GNED.ALL;ENTITY COLOR IS PORT(CLK,MD:IN STD_LOGIC;HS,VS,R,G,B:OUT STD_LOGIC );-行场同步行场同步/红,绿,兰红,绿,兰END COLOR;ARCHITECTURE behav OF COLOR IS SIGNAL HS1,VS1,FCLK,CCLK :STD_LOGIC;SIGNAL MMD:STD_LOGIC_VECTOR(1 DOWNTO 0);-方式选择方式选择 SIGNAL FS:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL CC:STD_LOGIC_VECTOR(4 DOWNTO
6、0);-行同步行同步/横彩条生成横彩条生成 SIGNAL LL:STD_LOGIC_VECTOR(8 DOWNTO 0);-场同步场同步/竖彩条生成竖彩条生成 SIGNAL GRBX:STD_LOGIC_VECTOR(3 DOWNTO 1);-X横彩条横彩条 SIGNAL GRBY:STD_LOGIC_VECTOR(3 DOWNTO 1);-Y竖彩条竖彩条 SIGNAL GRBP:STD_LOGIC_VECTOR(3 DOWNTO 1);SIGNAL GRB :STD_LOGIC_VECTOR(3 DOWNTO 1);BEGIN (接下页)接下页)K KX康芯科技康芯科技GRB(2)=(GRB
7、P(2)XOR MD)AND HS1 AND VS1;GRB(3)=(GRBP(3)XOR MD)AND HS1 AND VS1;GRB(1)=(GRBP(1)XOR MD)AND HS1 AND VS1;PROCESS(MD)BEGIN IF MDEVENT AND MD=0 THEN IF MMD=10 THEN MMD=00;ELSE MMD=MMD+1;END IF;-三种模式三种模式 END IF;END PROCESS;PROCESS(MMD)BEGIN IF MMD=00 THEN GRBP=GRBX;-选择横彩条选择横彩条 ELSIF MMD=01 THEN GRBP=GRBY
8、;-选择竖彩条选择竖彩条 ELSIF MMD=10 THEN GRBP=GRBX XOR GRBY;-产生棋盘格产生棋盘格 ELSE GRBP=000;END IF;END PROCESS;PROCESS(CLK)BEGIN IF CLKEVENT AND CLK=1 THEN-13MHz 13分频分频 IF FS=13 THEN FS=0000;ELSE FS=(FS+1);END IF;END IF;END PROCESS;FCLK=FS(3);CCLK=CC(4);PROCESS(FCLK)BEGIN (接下页)接下页)K KX康芯科技康芯科技IF FCLKEVENT AND FCLK=
9、1 THEN IF CC=29 THEN CC=00000;ELSE CC=CC+1;END IF;END IF;END PROCESS;PROCESS(CCLK)BEGIN IF CCLKEVENT AND CCLK=0 THEN IF LL=481 THEN LL=000000000;ELSE LL 23 THEN HS1=0;-行同步行同步 ELSE HS1 479 THEN VS1=0;-场同步场同步 ELSE VS1=1;END IF;END PROCESS;PROCESS(CC,LL)BEGIN IF CC 3 THEN GRBX=111;-横彩条横彩条 ELSIF CC 6 TH
10、EN GRBX=110;ELSIF CC 9 THEN GRBX=101;ELSIF CC 13 THEN GRBX=100;ELSIF CC 15 THEN GRBX=011;(接下页)接下页)K KX康芯科技康芯科技ELSIF CC 18 THEN GRBX=010;ELSIF CC 21 THEN GRBX=001;ELSE GRBX=000;END IF;IF LL 60 THEN GRBY=111;-竖彩条竖彩条 ELSIF LL 130 THEN GRBY=110;ELSIF LL 180 THEN GRBY=101;ELSIF LL 240 THEN GRBY=100;ELSIF
11、 LL 300 THEN GRBY=011;ELSIF LL 360 THEN GRBY=010;ELSIF LL 420 THEN GRBY=001;ELSE GRBY=000;END IF;END PROCESS;HS=HS1;VS=VS1;R=GRB(2);G=GRB(3);B=GRB(1);ENDbehav;13.2 VGA图象显示控制器设计图象显示控制器设计 K KX康芯科技康芯科技图图13-4VGA图像控制器框图图像控制器框图K KX康芯科技康芯科技【例【例13-2】LIBRARY ieee;-图象显示顶层程序图象显示顶层程序USE ieee.std_logic_1164.all;
12、ENTITY img IS port(clk50MHz:IN STD_LOGIC;hs,vs,r,g,b:OUT STD_LOGIC);END img;ARCHITECTURE modelstru OF img IS component vga640480 -VGA显示控制模块显示控制模块PORT(clk:IN STD_LOGIC;rgbin:IN STD_LOGIC_VECTOR(2 downto 0);hs,vs,r,g,b:OUT STD_LOGIC;hcntout,vcntout:OUT STD_LOGIC_VECTOR(9 downto 0);end component;compon
13、ent imgrom -图象数据图象数据ROM,数据线数据线3位;地址线位;地址线13位位PORT(inclock:IN STD_LOGIC;address:IN STD_LOGIC_VECTOR(11 downto 0);q:OUT STD_LOGIC_VECTOR(2 downto 0);end component;signal rgb:STD_LOGIC_VECTOR(2 downto 0);signal clk25MHz:std_logic;signal romaddr:STD_LOGIC_VECTOR(11 downto 0);signal hpos,vpos:std_logic_v
14、ector(9 downto 0);BEGIN romaddr=vpos(5 downto 0)&hpos(5 downto 0);process(clk50MHz)beginif clk50MHzevent and clk50MHz=1 then clk25MHz clk25MHz,rgbin=rgb,hs=hs,vs=vs,r=r,g=g,b=b,hcntout=hpos,vcntout=vpos);i_rom:imgrom PORT MAP(inclock=clk25MHz,address=romaddr,q=rgb);END;K KX康芯科技康芯科技【例【例13-3】LIBRARY I
15、EEEuse IEEE.std_logic_1164.all;useIEEE.STD_LOGIC_UNSIGNED.ALL;entity vga640480 isport(clk:in STD_LOGIC;hs,vs,r,g,b:out STD_LOGIC;rgbin:in std_logic_vector(2 downto 0);hcntout,vcntout:out std_logic_vector(9 downto 0);end vga640480;architecture ONE of vga640480 issignal hcnt,vcnt:std_logic_vector(9 do
16、wnto 0);beginhcntout=hcnt;vcntout=vcnt;process(clk)beginif(rising_edge(clk)thenif(hcnt 800)thenhcnt=hcnt+1;else hcnt 0);end if;end if;end process;process(clk)beginif(rising_edge(clk)thenif(hcnt=640+8)thenif(vcnt 525)thenvcnt=vcnt+1;else vcnt 0);end if;(接下页)接下页)K KX康芯科技康芯科技 end if;end if;end process;
17、process(clk)beginif(rising_edge(clk)thenif(hcnt=640+8+8)and(hcnt640+8+8+96)then hs=0;else hs=480+8+2)and(vcnt480+8+2+2)then vs=0;else vs=1;end if;end process;process(clk)beginif(rising_edge(clk)thenif(hcnt640 and vcnt480)thenr=rgbin(2);g=rgbin(1);b=rgbin(0);else r=0;g=0;b=0;end if;end if;end process
18、;end ONE;13.3 步进电机细分驱动控制步进电机细分驱动控制 K KX康芯科技康芯科技1 1、步进电机细分驱动原理、步进电机细分驱动原理 2 2、步距细分的系统构成、步距细分的系统构成 图图13-5四相步进电机四相步进电机8细分电流波形细分电流波形13.3 步进电机细分驱动控制步进电机细分驱动控制 K KX康芯科技康芯科技2 2、步距细分的系统构成、步距细分的系统构成 图图13-6步进电机细分驱动电路结构图步进电机细分驱动电路结构图13.3 步进电机细分驱动控制步进电机细分驱动控制 K KX康芯科技康芯科技2 2、步距细分的系统构成、步距细分的系统构成 图图13-7步进电机步进电机PW
19、M细分控制控制电路图细分控制控制电路图13.3 步进电机细分驱动控制步进电机细分驱动控制 K KX康芯科技康芯科技2 2、步距细分的系统构成、步距细分的系统构成 图图13-8图图13-7中的中的cmp3模块模块13.3 步进电机细分驱动控制步进电机细分驱动控制 K KX康芯科技康芯科技2 2、步距细分的系统构成、步距细分的系统构成 图图13-9PWM波形波形ROM存储器存储器13.3 步进电机细分驱动控制步进电机细分驱动控制 K KX康芯科技康芯科技3 3、细分电流信号的实现、细分电流信号的实现 4 4、细分驱动性能的改善、细分驱动性能的改善 5 5、细工作时序分析、细工作时序分析 13.3
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 精品 EDA 技术 实用教程 13
限制150内