数字逻辑EDA电子钟课程设计报告23022.pdf
《数字逻辑EDA电子钟课程设计报告23022.pdf》由会员分享,可在线阅读,更多相关《数字逻辑EDA电子钟课程设计报告23022.pdf(15页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、-多功能数字钟 设计说明:1系统顶层框图:各模块电路功能如下:1.秒计数器、分计数器、时计数器组成最根本的数字钟,其计数输出送 7 段译码电路由数码管显示。2.基准频率分频器可分频出标准的 1HZ 频率信号,用于秒计数的时钟信号;分频出 4HZ 频率信号,用于校时、校分的快速递增信号;分频出 64HZ 频率信号,用于对按动校时,校分按键的消除抖动。2.多功能数字钟构造框图:一、系统功能概述 已完成功能 1.完成时分秒的依次显示并正确计数,利用六位数码管显示;2.时分秒各段个位满 10 正确进位,秒分能做到满 60 向前进位,有系统时间清零功能;3.定时器:实现整点报时,通过扬声器发出上下报时声
2、音;4.时间设置,也就是手动调时功能:当认为时钟不准确时,可以分别对分时钟进展调整;5.闹钟:实现分/时闹钟设置,在时钟到达设定时间时通过扬声器响铃。有静音模式。待改良功能:1.系统没有万年历功能,正在思考设计方法。2.应添加秒表功能。-二、系统组成以及系统各局部的设计 1.时计数模块 时计数模块就是一个 2 位 10 进制计数器,记数到 23 清零。VHDL 的 RTL 描述如下:-t_h.vhd library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entityt_h is port(en,clk,
3、clr:in std_logic;dout:out std_logic_vector(7 downto 0);c:out std_logic);endt_h;architecture rtl oft_h is signal t:std_logic_vector(7 downto 0);begin process(en,clk,clr)variable t:std_logic_vector(7 downto 0);begin if en=1 then -异步使能 if clk event and clk=1 then t:=t+1;if t(3 downto 0)=*A then -个位等于 1
4、0 则十位加 1-t(7 downto 4):=t(7 downto 4)+1;t(3 downto 0):=*0;-个位清零 end if;if t*23 then -大于 23 清零 t:=*00;end if;end if;if clr=1 then -异步清零 t:=*00;end if;end if;dout=t;end process;end rtl;时计数器模块仿真波形如下 从仿真波形可知,当计数到 23 时,下一个时钟上升沿到来时就清零了,符合设计要求。时计数模块框图如下 2.分及秒计数模块 分及秒计数模块也是一个 2 位 10 进制计数器,记数到 59 清零。VHDL 的 R
5、TL 描述如下:library ieee;use ieee.std_logic_1164.all;-use ieee.std_logic_unsigned.all;entityt_s is port(en,clk,clr:in std_logic;dout:buffer std_logic_vector(7 downto 0);c:out std_logic);endt_s;architecture rtl oft_s is begin process(en,clk,clr)begin if en=1 then if clr=1 then -异步清零 dout=*00;elsif clk ev
6、ent and clk=1 then if dout(3 downto 0)9 then dout(3 downto 0)=dout(3 downto 0)+1;c=0;elsif dout(7 downto 4)5 then dout(3 downto 0)=*0;dout(7 downto 4)=dout(7 downto 4)+1;else dout=*00;c=1;-end if;end if;else dout10 then dout=1;t:=t-1;else dout=0;end if;end if;else dout=0;t:=0;end if;end process;end
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 数字 逻辑 EDA 电子钟 课程设计 报告 23022
限制150内