(精品)第4章组合逻辑电路(改).ppt
《(精品)第4章组合逻辑电路(改).ppt》由会员分享,可在线阅读,更多相关《(精品)第4章组合逻辑电路(改).ppt(138页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、第第4章章 组合逻辑电路组合逻辑电路数字电路按照逻辑功能可以分为数字电路按照逻辑功能可以分为组合逻组合逻辑电路辑电路(Combinational Logic Circuit)和和时序逻辑电路时序逻辑电路(Sequential Logic Circuit)两大类。组合逻辑电路简称组)两大类。组合逻辑电路简称组合电路,时序逻辑电路简称时序电路。合电路,时序逻辑电路简称时序电路。在比较复杂的数字系统中,通常既包含在比较复杂的数字系统中,通常既包含组合逻辑电路又包含时序逻辑电路。本组合逻辑电路又包含时序逻辑电路。本章主要讨论组合逻辑电路。章主要讨论组合逻辑电路。第第4章章 组合逻辑电路组合逻辑电路组合
2、逻辑电路特点及表示方法组合逻辑电路特点及表示方法SSI组合电路的分析与设计组合电路的分析与设计 常用组合逻辑电路常用组合逻辑电路MSI组合电路的组合电路的分析与分析与设计设计LSI组合电路的组合电路的分析与分析与设计设计组合逻辑电路的竞争与冒险组合逻辑电路的竞争与冒险4.1 组合逻辑电路特点组合逻辑电路特点所谓的组合逻辑电路是指,所谓的组合逻辑电路是指,任意时刻的输任意时刻的输出现状态取决于该时刻输入信号的状态,出现状态取决于该时刻输入信号的状态,而与信号作用之前电路的状态无关。而与信号作用之前电路的状态无关。电路不包含有记忆性的元件,组合逻辑电电路不包含有记忆性的元件,组合逻辑电路在结构上也
3、不存在输出到输入的反馈通路在结构上也不存在输出到输入的反馈通路。路。组合电路通常是由各种门电路构成。组合电路通常是由各种门电路构成。F1=f1(x1,x2,xn)F2=f2(x1,x2,xn)Fm=fm(x1,x2,xn)组合电路组合电路x1x2xnF1F2Fm图图4-1组合逻辑电路框图组合逻辑电路框图输入输入逻辑逻辑变量变量输出输出逻辑逻辑函数函数4.2 小规模集成电路构成的组合电路的分小规模集成电路构成的组合电路的分析与设计析与设计分析分析:组合逻辑电路的分析就是根据:组合逻辑电路的分析就是根据给定的逻辑电路推导归纳出其逻辑功能。给定的逻辑电路推导归纳出其逻辑功能。设计设计:设计就是从给定
4、的逻辑要求出:设计就是从给定的逻辑要求出发,求出逻辑图。发,求出逻辑图。4.2.1 分析方法分析方法逻辑电路图逻辑电路图逻辑表达式逻辑表达式化简或变换化简或变换逻辑真值表逻辑真值表逻辑功能说明逻辑功能说明图图4-2 组合逻辑电路的分析过程图组合逻辑电路的分析过程图 分析步骤:分析步骤:(1)根据逻辑图从电路的输入到输出逐级根据逻辑图从电路的输入到输出逐级写出逻辑表达式,得到表示输出与输入写出逻辑表达式,得到表示输出与输入关系的逻辑表达式。关系的逻辑表达式。(2)利用公式化简法或卡诺图化简法将得利用公式化简法或卡诺图化简法将得到的表达式化简或变换。有时为了使电到的表达式化简或变换。有时为了使电路
5、的逻辑功能更加直观,还需要列出输路的逻辑功能更加直观,还需要列出输出与输入之间的逻辑真值表。出与输入之间的逻辑真值表。(3)根据函数表达式或逻辑真值表确定组根据函数表达式或逻辑真值表确定组合电路的逻辑功能。合电路的逻辑功能。【例例4-1】试分析图试分析图4-3所示电路的逻辑功所示电路的逻辑功能。能。解:解:(1)写表达式写表达式由图由图4-3所示电路写出逻辑表达式:所示电路写出逻辑表达式:F=(AB)(AC)(BC)=AB+AC+BC FCBA图图4-3 组合电路组合电路(2)列真值表列真值表根据表达式确定电根据表达式确定电路的逻辑功能并不路的逻辑功能并不容易,所以再列出容易,所以再列出真值表
6、。真值表。ABCF00000010010001111000101111011111(3)确定逻辑功能确定逻辑功能 由真值表中看出,当由真值表中看出,当3个输入变量中有两个输入变量中有两个或两个以上为个或两个以上为1时,输出为时,输出为1,否则为,否则为0。因此该电路为因此该电路为3人表决电路,即当多数同人表决电路,即当多数同意时,表决通过。意时,表决通过。4.2.2 设计方法设计方法逻辑命题逻辑命题列真值表列真值表写逻辑函数式写逻辑函数式逻辑函数化简或变换逻辑函数化简或变换画逻辑电路图画逻辑电路图图图4-4 组合逻辑电路的设计过程图组合逻辑电路的设计过程图设计步骤:设计步骤:(1)列真值表。由
7、文字描述的逻辑命题直接写列真值表。由文字描述的逻辑命题直接写出逻辑函数表达式相对困难,但列出真值表却出逻辑函数表达式相对困难,但列出真值表却比较方便。首先根据命题分析事件的因果关系,比较方便。首先根据命题分析事件的因果关系,确定输入变量和输出变量。一般把事件的起因确定输入变量和输出变量。一般把事件的起因定为输入变量,把事件的结果作为输出变量。定为输入变量,把事件的结果作为输出变量。然后对逻辑变量进行赋值。所谓逻辑赋值,就然后对逻辑变量进行赋值。所谓逻辑赋值,就是用二值逻辑的是用二值逻辑的0、1分别代表输入变量和输出分别代表输入变量和输出变量的两种不同状态。最后根据给定事件的因变量的两种不同状态
8、。最后根据给定事件的因果关系列出真值表。果关系列出真值表。(2)写逻辑函数式。由真值表很容易写出对应写逻辑函数式。由真值表很容易写出对应的逻辑函数表达式,这样,便将一个实际的逻的逻辑函数表达式,这样,便将一个实际的逻辑问题抽象成一个逻辑函数了。辑问题抽象成一个逻辑函数了。设计步骤设计步骤(3)逻辑函数化简或变换。逻辑函数化简逻辑函数化简或变换。逻辑函数化简或变换与选择的器件型号有关。当用小或变换与选择的器件型号有关。当用小规模集成门电路进行设计时,为了获得规模集成门电路进行设计时,为了获得简单的设计结果,需要将逻辑函数化简。简单的设计结果,需要将逻辑函数化简。当所用器件的种类有所限制时,则要将
9、当所用器件的种类有所限制时,则要将函数表达式变换成与器件种类相适应的函数表达式变换成与器件种类相适应的形式。形式。(4)画逻辑电路图。根据简化或变换的逻画逻辑电路图。根据简化或变换的逻辑函数表达式画出逻辑电路图。辑函数表达式画出逻辑电路图。【例例4-2】某大楼的自动电梯系统有某大楼的自动电梯系统有5部电部电梯,其中梯,其中3部是主电梯,部是主电梯,2部为备用电梯。部为备用电梯。当上下人员拥挤,主电梯全被使用时,当上下人员拥挤,主电梯全被使用时,才允许使用备用电梯。备用电梯的使用才允许使用备用电梯。备用电梯的使用采用两级控制。试用与非门设计一个监采用两级控制。试用与非门设计一个监控主电梯运行的逻
10、辑电路,当任何控主电梯运行的逻辑电路,当任何2部主部主电梯运行时,产生一个备用电梯准备运电梯运行时,产生一个备用电梯准备运行的控制信号;当行的控制信号;当3部主电梯都在运行时,部主电梯都在运行时,则产生另一控制信号,使备用电梯主电则产生另一控制信号,使备用电梯主电源接通,处于可运行状态。源接通,处于可运行状态。解:根据题意,输入变解:根据题意,输入变量量A、B、C表示表示3部主电部主电梯的运行状态,输出变梯的运行状态,输出变量量F1、F2分别表示备用分别表示备用电梯的准备运行信号和电梯的准备运行信号和备用电梯的主电源接通备用电梯的主电源接通信号。状态赋值如下:信号。状态赋值如下:对于输入来说,
11、对于输入来说,1代表主代表主电梯正在运行,电梯正在运行,0代表主代表主电梯没有运行;而输出电梯没有运行;而输出变量中变量中1表示控制信号有表示控制信号有效,效,0表示控制信号无效。表示控制信号无效。得到的输出变量与输入得到的输出变量与输入变量之间的真值表变量之间的真值表。A B C F1 F2 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 10 00 00 01 00 01 01 01 1图图4-5 例例4-2卡诺图卡诺图ABC00 01 11 100100100111F1ABC00 01 11 100100000010F2由真值表画出卡诺图如图由真值表画出卡诺
12、图如图4-5所示。所示。化简及变换得逻辑表达式:化简及变换得逻辑表达式:F1=AB+AC+BC=(AB)(AC)(BC),F2=ABC=(ABC)根据根据F1、F2的表达式,用与非门实现的的表达式,用与非门实现的逻辑电路图如图所示。逻辑电路图如图所示。注意注意由由于于赋赋值值不不同同,可可得得到到不不同同的的真真值值表表,因因而而可可得得到到不不同同的的逻逻辑辑关关系系。因因此此应应根根据状态赋值去理解据状态赋值去理解0,1的具体含义。的具体含义。列列真真值值表表时时,不不会会出出现现或或不不允允许许出出现现的的输输入入信信号号状状态态组组合合和和输输入入变变量量取取值值组组合合可可以以不不列
13、列出出,如如果果列列出出,则则可可在在相相应应输输出出处处记记上上“”号号,以以示示区区别别,化化简简时可作约束项处理。时可作约束项处理。【例例4-3】试利用试利用VHDL语言设计一个判语言设计一个判定电路。定电路。3名裁判中名裁判中1人为主裁判,人为主裁判,2人为人为副裁判,在主裁判同意情况下,只要有副裁判,在主裁判同意情况下,只要有1名副裁判同意比赛成绩就有效。否则,名副裁判同意比赛成绩就有效。否则,比赛成绩无效。比赛成绩无效。解:根据命题的因果关系,设输入变量解:根据命题的因果关系,设输入变量A、B、C分别代表主裁判、第一副裁判、第分别代表主裁判、第一副裁判、第二副裁判的判决,输出函数二
14、副裁判的判决,输出函数F代表最后的代表最后的判决结果。裁判同意用判决结果。裁判同意用1表示,不同意用表示,不同意用0表示;成绩有效用表示;成绩有效用1表示,成绩无效用表示,成绩无效用0表示。表示。library ieee;use ieee.std_logic_1164.all;entity ABCtoY is port(A,B,C:in std_logic;Y:out std_logic);end ABCtoY;architecture ctrl of ABCtoY is signal sel:std_logic_vector(2 downto 0);begin sel=A&B&C;Y=1 w
15、hen sel=101 else 1 when sel=110 else 1 when sel=111 else 0;end ctrl;4.3 编码器编码器编码编码是指用文字、符号和数码等来表示某种信息的是指用文字、符号和数码等来表示某种信息的过程,在数字系统中,通常是将信息编成若干位二过程,在数字系统中,通常是将信息编成若干位二进制代码。实现编码的数字电路称为进制代码。实现编码的数字电路称为编码器编码器(Encoder)。)。编码器是组合逻辑电路中的一种类型,虽然可以由编码器是组合逻辑电路中的一种类型,虽然可以由逻辑门构成,但已有中规模集成电路芯片。逻辑门构成,但已有中规模集成电路芯片。编码
16、器的逻辑功能是把输入的每一个高、低电平信编码器的逻辑功能是把输入的每一个高、低电平信号编成一组对应的代码。针对二进制编码的组合电号编成一组对应的代码。针对二进制编码的组合电路称为路称为二进制编码器二进制编码器(Binary Encoder)。而对十)。而对十进制数进行编码时常用二进制数进行编码时常用二-十进制码,与之相应的十进制码,与之相应的编码器称为编码器称为二二-十进制编码器十进制编码器。4.3.1 二进制编码器二进制编码器二进制编码器是编码器中常见的一种,由于二进制编码器是编码器中常见的一种,由于n位二进位二进制代码有制代码有2n个取值组合,可以表示个取值组合,可以表示2n种信息,因此二
17、种信息,因此二进制编码器的输入信号个数进制编码器的输入信号个数N与输出二进制数位数与输出二进制数位数n的的关系满足关系满足 ,故通常编码器的输入端比输出端个,故通常编码器的输入端比输出端个数多。数多。编码器在编码过程中要求在任何时刻只能对一个输入编码器在编码过程中要求在任何时刻只能对一个输入信号进行编码,否则输出将发生混乱。编码器的输出信号进行编码,否则输出将发生混乱。编码器的输出代码可以是原码形式,也可以是反码形式,原码指的代码可以是原码形式,也可以是反码形式,原码指的是与十进制数数值对应的二进制码,而把原码各位值是与十进制数数值对应的二进制码,而把原码各位值取反得到的码称为反码。取反得到的
18、码称为反码。【例例4-4】试用小规模集成电路设计一个试用小规模集成电路设计一个输入为低电平有效、输出为反码的输入为低电平有效、输出为反码的3位二位二进制编码器。进制编码器。解:解:3位二进制编码器可以有位二进制编码器可以有8个输入信个输入信号。设号。设A0,A1,A7 分别为低分别为低电平有效的电平有效的8个输入信号;个输入信号;Y0,Y1和和Y2为为3位输出代码,其构成的编码表示为位输出代码,其构成的编码表示为Y2 Y1 Y0。根据题意列出真值表,如表。根据题意列出真值表,如表4-3所示。所示。表表4-3 例例4-4的真值表的真值表 A0A1A2A3A4A5A6A7Y2Y1Y00111111
19、111110111111110110111111011110111110011110111011111110110101111110100111111110000由真值表写出输出函数表达式为由真值表写出输出函数表达式为 Y0=(A0)+(A2)+(A4)+(A6)=(A0 A2 A4 A6)Y1=(A0)+(A1)+(A4)+(A5)=(A0 A1 A4 A5)Y2=(A0)+(A1)+(A2)+(A3)=(A0 A1 A2 A3)由与非门组成的由与非门组成的3位二进制编码器的逻辑电路图位二进制编码器的逻辑电路图如图所示。如图所示。Y2Y1Y0A1 A2 A3 A4 A5A6 A7A04.3.
20、2 二进制优先编码器二进制优先编码器优先编码器优先编码器(Priority Encoder)电路中,)电路中,允许在多个输入端同时输入有效编码信允许在多个输入端同时输入有效编码信号,但它会根据规定好的优先顺序,选号,但它会根据规定好的优先顺序,选择其中优先级别最高的有效输入信号进择其中优先级别最高的有效输入信号进行编码。这种编码器广泛应用于计算机行编码。这种编码器广泛应用于计算机系统中的中断请求和数字控制的排队逻系统中的中断请求和数字控制的排队逻辑电路中。辑电路中。A0A3,其中,其中A3具有最具有最高优先级别,而优先高优先级别,而优先级最低的是级最低的是A0;若存;若存在有效输入,则输出在有
21、效输入,则输出Y1、Y0为最高优先级为最高优先级有效输入的编码;若有效输入的编码;若没有有效输入,则使没有有效输入,则使能输出端能输出端Eo输出为低输出为低电平。电平。A0A1A2A3Y0Y1Eo优优先先编编码码器器4线线-2线优先编码器的逻辑框图线优先编码器的逻辑框图 Eo 0 0 0 0 1 1 0 1 0 0 1 0 0 0 0 0 01 1 11 0 10 1 10 0 1A0 A1 A2 A3Y1 Y0library ieee;use ieee.std_logic_1164.all;entity encoder is port(A:in std_logic_vector(3 down
22、to 0);Y:out std_logic_vector(1 downto 0);EO:out std_logic;end encoder;architecture encoderp of encoder isbeginprocess(A)beginif A(3)=1 then Y=11;EO=1elsif A(2)=1 then Y=10;EO=1;elsif A(1)=1 then Y=01;EO=1;elsif A(0)=1 then Y=00;EO=1;elsif A=0000 then Y=00;EO=0;end if;end process;end encoderp;中规模集成芯片
23、中规模集成芯片74HC148是具有是具有使能输入和使能使能输入和使能输出功能的输出功能的8线线-3线优先编码器。线优先编码器。其输入为低电平其输入为低电平有效,输出编码有效,输出编码为反码形式。为反码形式。74HC14864512379141513121110EIA7A6A5A4A3A2A1A0EoY0Y1Y2 Gs74HC148的真值表的真值表 输入输入输出输出EIA0A1A2A3A4A5A6A7Y2Y1Y0GSEO11111101111111111110000000100100101001101001001110110100111110001001111110101001111111100
24、100111111111101【例例4-5】某医院有某医院有4间病房,分别是一、间病房,分别是一、二、三、四号病室,每室设有一个呼叫二、三、四号病室,每室设有一个呼叫按钮,同时在护士值班室对应地装有一、按钮,同时在护士值班室对应地装有一、二、三、四号二、三、四号4个指示灯。假设这个指示灯。假设这4个病个病室有轻重缓急之分,一号病室最优先,室有轻重缓急之分,一号病室最优先,其次为二号病室,四号病室最后。试用其次为二号病室,四号病室最后。试用74HC148及必要的门电路设计满足上述及必要的门电路设计满足上述控制要求的逻辑电路,给出控制控制要求的逻辑电路,给出控制4个指示个指示灯状态的高、低电平信号
25、。灯状态的高、低电平信号。解:由命题可知,逻辑电路有解:由命题可知,逻辑电路有4个输入变量,个输入变量,4个输个输出函数。假设出函数。假设4个输入变量个输入变量I0、I1、I2和和I3分别对分别对应一、二、三、四号病室的呼叫按钮电平,且规定应一、二、三、四号病室的呼叫按钮电平,且规定0代表按钮被按下,代表按钮被按下,1表示没被按下;表示没被按下;4个输出函数个输出函数F0、F1、F2和和F3分别对应一、二、三、四号指示灯分别对应一、二、三、四号指示灯的信号,且规定灯亮用的信号,且规定灯亮用1表示,灯灭用表示,灯灭用0表示。将表示。将I0、I1、I2和和I3分别对应接到分别对应接到74HC148
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 精品 组合 逻辑电路
限制150内